自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(3)
  • 资源 (16)
  • 收藏
  • 关注

转载 Chapter 3 – Top Block

In a normalproject, the development of the DUT is done separately from the development ofthe testbench, so there are two components that connects both of them: The top block of the testbench A v

2015-04-13 11:01:00 896

转载 Chapter 2 – Defining the verification environment

Beforeunderstanding UVM, we need to understand verification. Right now, wehave a DUT and we will have to interact with it in order to test itsfunctionality, so we need to stimulate it. To achieve thi

2015-04-03 15:25:33 1078

转载 Chapter 1 – The DUT

This trainingguide will focus on showing how we can build a basic UVM environment, so thedevice under test was kept very simple in order to emphasize the explanation ofUVM itself. The DUT used isa si

2015-04-01 16:27:45 660

2017年版一建教材《民航机场工程管理与实务》1.pdf

2017年版一建教材《民航机场工程管理与实务》1.pdf,2017年版一建教材《民航机场工程管理与实务》1.pdf

2017-11-10

2017年一建《水利水电工程管理与实务》教材电子版.pdf

2017年一建《水利水电工程管理与实务》教材电子版.pdf ,2017年一建《水利水电工程管理与实务》教材电子版.pdf

2017-11-10

2017年一建《机电工程管理与实务》教材电子版.pdf

2017年一建《机电工程管理与实务》教材电子版.pdf ,2017年一建《机电工程管理与实务》教材电子版.pdf

2017-11-10

2017年一建《建筑工程管理与实务》教材电子版.pdf

2017年一建《建筑工程管理与实务》教材电子版.pdf,2017年一建《建筑工程管理与实务》教材电子版.pdf

2017-11-10

2017年一建《市政公用工程管理与实务》教材电子版.pdf

2017年一建《市政公用工程管理与实务》教材电子版.pdf

2017-11-10

2017年一建《建设工程项目管理》教材电子版.pdf

2017年一建《建设工程项目管理》教材电子版.pdf,2017年一建《建设工程项目管理》教材电子版.pdf

2017-11-10

2017年一建《公路工程管理与实务》教材电子版.pdf

2017年一建《公路工程管理与实务》教材电子版.pdf ,还算新吧 还有其他的后续会陆续上传

2017-11-10

Python正则表达式指南.pdf

python正则表达式的的介绍,包括正则格式、使用等相关的。

2017-11-10

PCI_Express_Base_Specification_Revision_4.0

PCI_Express_Base_Specification_Revision_4.0,第三版

2017-11-10

UVM_1.1_Class_Reference_Final

这个文档是超级有用的噻。列出部分的目录 Overview 1.1 Scope 1.2 Purpose 2. Normative References 3. Definitions, Acronyms, and Abbreviations 3.1 Definitions 3.2 Acronyms and Abbreviations 4. Classes and Utilities 5. Base Classes 5.1 uvm_void 5.2 uvm_object 5.3 uvm_transaction 5.4 uvm_root 5.5 uvm_port_base 6. Reporting Classes 6.1 uvm_report_object 6.2 uvm_report_handler 6.3 uvm_report_server 6.4 uvm_report_catcher 7. Factory Classes 7.1 uvm_*_registry 7.2 uvm_factory 8. Phasing Classes 8.1 uvm_phase 8.2 uvm_domain 8.3 uvm_bottomup_phase 8.4 uvm_task_phase 8.5 uvm_topdown_phase 8.6 UVM Common Phases UVM 1.1 Class Reference Front-4 8.7 UVM Run-Time Phases 8.8 User-Defined Phases 9. Configuration and Resource Classes 9.1 uvm_resource 9.2 uvm_resource_db 9.3 uvm_config_db 10. Synchronization Classes . 10.1 uvm_event 10.2 uvm_event_callback 10.3 uvm_barrier 10.4 uvm_objection 10.5 uvm_heartbeat

2015-09-16

uvm-cookbook-sequences-guide-verification-academy

这个文档用处还是还蛮大的,也是从verification academy上拽下来的。

2015-09-16

coverage-cookbook-complete-verification-academy

ASIC验证中覆盖率统计方面的,是从verification-academy官网上拽下来的

2015-09-16

C++ 作用域规则

阐述了C++中:全局变量、局部变量、寄存器变量、静态变量、外部变量、const常量、volatile变量的作用域

2014-12-18

Encounter做ECO的方法_脚本方法

使用Encounter做ECO的脚本环境介绍,讲的还算可以的。

2014-11-13

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除