SystemVerilog
文章平均质量分 66
ZYZ_DIDO
这个作者很懒,什么都没留下…
展开
-
求解决!!!SystemVerilog在ModelSim中的编译与运行
是在学习SV过程中,运行书上的例子,碰到的问题。功能:函数返回数组。Code1:/*书上提供的例子,存在错误,不可运行function void init(ref int f[5], int start);//主要是函数定义时没有声明automatic属性foreach(f)f = i + start;endfunctioninitial begin原创 2015-06-12 10:45:28 · 5902 阅读 · 1 评论 -
gvim 环境设置
配置文件:.gvimrc内容如下:#start----------------------------------------------------------------------------------------------------------source ~/perl_format.rcsource ~/sv_format.rcset title“open mouse”...原创 2019-01-10 15:45:14 · 646 阅读 · 0 评论 -
systemverilog的数据隐藏特性
分为以下几种,涉及关键字分别为:local,protected,constlocal:只允许类本身访问;protected:允许类本身和它的扩展类访问;const:分为两种:全局性、instance性的其中,全局性const:在声明时即赋值,之后不可修改;instace性const:只使用const进行声明,赋值发生在new()中下面举例介绍下几种情况:class原创 2017-11-22 15:09:49 · 1835 阅读 · 0 评论 -
systemverilog 参数化类及与静态的关系
以两个例子说明参数化的类及声明静态变量时的情况:eg:program param_stack;class stack #(type T = int); int m_cnt; static int counter = 2; function new; m_cnt = counter++; endfunction: new endclass原创 2017-11-22 10:22:22 · 4198 阅读 · 0 评论 -
SystemVerilog Coding Guidelines: Package import versus `include
systemverilog中package和`include的区别转载 2017-08-02 10:56:46 · 1945 阅读 · 0 评论 -
SystemVerilog中有关class类的基础知识
1、class中的变量、宏定义等称为类的属性,函数和任务称为类的方法2、声明对象时可以指定input/output/inout/ref3、复制对象,复制的是句柄而不是对象的内容。类的每个对象,对于属性、方法等都有自己的副本4、class c;...endclassc c0; //“c0”就是对象c0的句柄,在此处仅相当于一个name,类似于仅是创建了一个c类型的变量c0原创 2015-09-16 17:16:29 · 21039 阅读 · 1 评论 -
systemverilog中阻塞和非阻塞事件以及同步
一、SV中非阻塞事件module test;event ev1, ev2;//belong to logic function partalways@(ev1) $display("ev1 triggered at %0ts", $time);initial wait(ev2.triggered)$display("ev2 triggered at %0ts原创 2015-08-14 10:07:19 · 3879 阅读 · 0 评论 -
systemverilog中$value$plusargs的用法
package pa;......endpackageprogram pr;import pa::*;......;initial begin......;void'($value$plusargs("s1 = %s", s));$display("s1 is %s=n", s);#1000;$exit();endendprogram原创 2015-08-14 09:46:23 · 5593 阅读 · 0 评论 -
systemverilog $cast
转载自:https://www.verificationguide.com/p/systemverilog-casting.html SystemVerilog Casting casting Dynamic casts can be used to safely cast a super-class pointer (or reference) into a pointer (or...转载 2019-02-18 17:33:56 · 1902 阅读 · 0 评论