【软件工程】4.UML时序图

时序图Sequence Diagram
对象之间的消息在时间顺序上的交互(关键的逻辑);
是强调消息时间顺序的交互图。

时序图描述类系统中对象和对象之间的交互;

时序图是一个模型,用于描述对象组如何随着时间在某些行为方面交互。

我们使用Rose软件创建一个时序图来。
首先新建时序图:


然后编辑框左侧的工具栏变为这样:


我们接下来画出一个登陆时序图:


注意,我们的小人logo不是时序图编辑工具中的,我们是用“用例图”中的小人logo拖拉至我们的时序图中的,拖拉之后的效果是:


分析:
我们的图有18个步骤,因为步骤十分的详细,我们可以按照时序图进行代码的编写。

时序图元素:
角色(Actor)/对象(Object)/生命线(Lifeline)/消息(Message)/自关联消息(Self-Message)

时序图总结
时序图只描述理想的工作流程;

时序图工具没有”角色”, 可以在用例图中创建

角色,然后在把项目中的角色拖入到时序图中。


转载请注明出处:http://blog.csdn.net/acmman/article/details/50363579


  • 2
    点赞
  • 47
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 2
    评论
FPGA画时序图的软件可以是专门用于FPGA设计的EDA工具,比如常用的Vivado、Quartus等。这些软件提供了一套完整的工具链,帮助设计师进行FPGA设计和验证。 首先,这些软件提供了直观易用的界面,设计师可以通过图形化的方式绘制和编辑时序图。设计师可以在时序图中添加时钟、输入、输出等信号,并设置各个信号的起始时间、持续时间等参数。这些软件还支持拖拽式的信号连接和编辑,使得设计过程更为高效和便捷。 其次,这些软件拥有强大的仿真功能,能够对设计的时序图进行仿真验证。设计师可以设置仿真参数,比如输入信号的波形、时钟频率等,然后运行仿真并观察仿真结果。仿真结果可以以波形图的形式呈现,使设计师可以直观地了解信号在时序图中的变化情况,从而验证设计的正确性。 此外,这些软件还提供了丰富的时序分析和优化功能。设计师可以通过时序分析工具对时序图进行全面分析,查找潜在的时序问题和性能瓶颈。同时,软件也提供了自动优化工具,能够根据设计要求和硬件资源的限制,自动优化时序图,使得设计达到更好的性能和功耗。 总之,FPGA画时序图的软件为设计师提供了强大的设计工具和验证平台。通过这些软件,设计师能够方便地绘制、仿真和分析时序图,从而优化设计并提高FPGA的性能和可靠性。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

光仔December

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值