System Verilog 学习笔记 (一) 数据类型1

个人向的System Verilog学习笔记
摘要由CSDN通过智能技术生成

1.SV的数据类型

1.1 内建数据类型(verilog 的数据类型) —> 变量和线网

变量:reg、integer、time、real

线网用于连接设计中不同的部分,但一般情况下用wire

1.2 SV独有的数据类型 

1.2.1 logic

logic是对reg数据类型的改进,可以被连续复制、门单元和模块所驱动,但不能有多个结构性的驱动

1.2.2 双状态数据类型

SV 引入的双状态数据类型有利于减少内存的使用

bit         双状态 单比特无符号

byte      双状态 8比特有符号

shortint 双状态 16比特有符号

int         双状态 32比特有符号

longint  双状态 64比特有符号

integer  四状态32比特有符号

time      四状态 64比特无符号

real       双状态 双精度浮点数

$isunknow()  如果检测到表达式的任意一位出现X或Z 返回1

该函数适用于检测被侧设计输出值是四状态,但接收的变量是二状态的,XZ就有可能自动被转化为01 无法被检测

1.3 定宽数组

1.3.1 声明

//给出数组的上下界或
  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值