自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(12)
  • 收藏
  • 关注

原创 数字芯片工作流程

数字芯片工作流程如下图所示,确立项目之后分为前端和后端两部分,其实也没这么硬性要求区分,只不过行业这么都这么叫而已。

2024-02-23 18:01:03 478 1

原创 数字IC后仿是什么?

SDF(Standard Delay Format)文件是把布局布线过程中。

2024-02-20 14:12:01 693

原创 gvim基础知识

gvim是一个功能强大、高度可定制的文本编辑器。命令模式(默认模式,打开gvim进入该模式)插入模式(文本编辑,在该模式下进行文本编辑底行模式(配置文件,在该模式下进行文件的临时配置)1)在使用gvim命令后直接进入命令模式。2)在命令模式下,按a或i键等可以直接进入插入模式;再按Esc键可以返回命令模式。3)在命令模式下,按冒号:可以进入底行模式输入命令,再删除底行命令或者按回车键或ESC又回到命令模式。4)插入模式与底行模式之间的转换必须经过命令模式。每一种工作模式下都有其特定的操作指令。

2024-02-19 00:26:18 1320 1

原创 Linux介绍

Linux全称GNU/Linux,是一种自由和开放源码的类 UNIX 操作系统,一般是用作服务器端的操作系统。Linux没有盘符的概念,只有一个根目录,如下图。Linux操作系统存放文件有两种路径:绝对路径和相对路径。

2024-02-19 00:07:40 864 1

原创 Verdi常用的操作

Verdi作为一款常见的数字IC debug工具,深受数字IC开发者的喜爱,下面介绍一些我在工作中常用操作和快捷键,如有错误欢迎指正。

2024-02-18 17:46:09 1068

原创 VCS介绍

然后在编译时指定Verid库,配置Verdi。这些都可以写入到一个Makefile文件中,最终使用make指令就可以快速进行编译仿真和查看波形。VCS对代码进行编译完成后,然后调用simv 并-TESTNAME的方式传入用例名,就可以对Testcase进行仿真。VCS首先对verilog设计代码和testbench进行编译,生成simv二进制可执行文件,若需要查看设计波形,首先需要在TB中调用dump fsdb的函数。,是Synopsys家的一款Verilog仿真工具。VCS工作分为两个阶段:编译,仿真。

2024-02-18 16:44:49 1161

原创 NOR FLASH 闪存及基本原理

存储器是用来存储数据和程序的设备,存储器分类如下图所示:主要分为RAM和ROM。RAM叫做随机访问存储器(Random Access Memory),主要包括了SRAM和DRAM;ROM叫做只读存储器(Read Only Memory),主要包括了Flash、EPOM和EEPROM等。RAM存储器特点是可以从任意一个地址写入或者读出数据,但掉电数据易丢失。SRAM(Satic RAM)静态随机访问存储器通常用于计算机的高速缓存,速度快。

2024-02-18 15:48:52 2148

原创 电脑如何购买内存条

1.查看内存条插槽个数ctrl+shift+esc打开任务管理器,点击性能<-内存,即可查看内存条的插槽个数。正在上传…重新上传取消2.查看内存条的参数在官网 CPUID下载CPU-Z,下载中文版的安装包即可。正在上传…重新上传取消正在上传…重新上传取消即可查看内存条的类型和频率:正在上传…重新上传取消...

2022-05-09 01:47:34 461

原创 过程块和方法 —Systemverilog

目录1.硬件过程快alwaysinitial2.函数 functionfunction的属性3.任务 task1.硬件过程快SV中首先要明白哪些语句应该放在“硬件世界”,哪些程序应该放在“软件世界”。 硬件世界:module、interface 软件世界:program、class always always是为了描述硬件的行为,可以描述时序电路、组合电路。所以只在module和interface中使用。 always中的@(...

2022-04-07 11:02:54 414

原创 数据类型 — Systemverilog

相当于一个只有一个元素的数组,合并型数组可以实现连续的存储,赋值时不需要用 '{ }bit [3:0][7:0] b_pack; 1.2unpacked非合并数组unpacked数组存储是不连续的,赋值时需要用'{ }bit [7:0] b_unpack[3]; //该数组有3个元素,每个元素8bits,3行8列1.3 foreach循环结构SV添加foreach循环来对一维或者多维数...

2022-04-07 00:57:09 2166

原创 数据类型之二值逻辑、四值逻辑 — Systemverilog

一.数据类型1.二值和四值逻辑二值逻辑:目的是模拟计算机验证环境,提高仿真性能,节约空间。若有四值逻辑数给其赋值,x,z会默认被赋值为0,因此二值逻辑数要远离DUT。四值逻辑:目的是模拟外部物理世界。类型 位宽 状态 符号 bit 1 0,1 无符号/1 bit byte 8 0,1 有符号 int 32 0,1 有符号 shortint 16 0,1 有符号 longint

2022-04-06 17:04:38 2567

原创 一、认识电脑

完整的个人计算机包括: 输入设备:鼠标、键盘、麦克风、摄像头 输出设备:显示器、音箱、打印机 内部设备:主板、CPU、内存、硬盘、网卡、显卡、声卡、电源 1. 主板主板顾名思义就是一个电路板,它负责将其他设备连接起来供CPU调用。如果是台式机主板位于机箱内,笔记本则位于电脑下方内部。主板和CPU关系密切,CPU更新换代必然会导致主板也会换代。主板功能:传输各种电子信号,部分芯片也初步处理一些外围信号。名称 功能 北桥芯片 ...

2022-04-05 15:14:36 42137

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除