自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(28)
  • 资源 (2)
  • 收藏
  • 关注

原创 讲printf源码的好文章

http://www.cnblogs.com/fanzhidongyzby/p/3519838.html文章讲了printf的执行原理。但是如果只是想重定向printf的标准输出的位置只需要重新定义一下fputc等函数即可。上图为重新定义的fputc函数,用于重定向标准输出的设备,此处为串口输出。可以看到fputs等函数就在stdio.h中进行的定义

2015-12-22 23:21:35 748

原创 最基本的查看串口

可以通过cat命令 e.g. cat /dev/ttyAMA0 ttyAMA0是一个已经加载了驱动的串口设备,并且对波特率在etc之类文件下做好了配置,cat就会打印出串口接收到的数据。也可以用perl的库进行数据的读入,然后进行处理,使用的库为Device::SerialPort,通过cpan可以安装,依赖比较少,很容易安装。在Cpan的官网上可以搜到这个库,里面有examp

2015-11-25 23:43:14 1227

原创 Freescale KL02 freedom board KL02 FRDM quick start快速上手

一、KL02 FRDM开发板上手:1. USB驱动下载2.开发环境下载(因人而异,视习惯)3.下载编译好的程序到开发板。1.USB驱动下载:http://www.pemicro.com/opensda/index.cfm 进入OpenSDA下载windows下驱动:windows下安装驱动:在板子与电脑断开连接的情况下安装驱动,驱动安装完成后连接设备,等

2015-11-02 22:01:26 1223

原创 智能楼宇智能家居BACnet通讯协议总结

BACnet通讯协议是楼宇自动控制技术应用的数据通讯网络标准。楼宇自动控制领域在BACnet协议出现之前很长的一段时间都是各个楼宇自动控制厂商使用各自公司自行设计的私有协议。私有协议的使用使各个公司生产的产品之间无法互联。这给产品的维护和扩展带来很大的障碍。       BACnet协议是为了针对以上出现的问题,根据开放系统互联模型(OSI)(ISO7498)而提出的。开放系统互联模型采用分层

2015-10-14 20:57:24 16235 7

原创 DC综合简单总结

综合分为三个部分:Synthesis= Translate + Mapping + Optimization。1、 Translate是将 HDL转化为GTECH库元件组成的逻辑电路,这步通过read_verilog进行(verilog代码),verilog代码被读入后,将会被自动translate。GTECH是独立于工艺库的通用元件库。这个时候可以用write -output ./u

2015-10-13 22:48:18 41935 2

转载 Printk不能打印浮点数!!!!

LDD3 裡面有說:1. printk 不支援浮點數 (float point)。2. printk 可以設定降低呼叫 printk 的頻率,如果呼叫同一行 printk 太頻繁,你可以抑制掉一些重覆的 printk 訊息。3. printk 是內核專用。

2013-12-17 10:56:44 4770

原创 Xilinx ISE Black Box使用

Xilinx ISE Black Box使用正常的Xilinx设计,无论里面是否调用了IP核,可以封装成和普通的IP核一样的形式。由NGC网表文件和一个标有顶层模块输入输出的wrapper文件组成。在新的设计调用此生成的IP核的时候,只要将wrapper实例化即可。Translate的时候会自动替换成ngc文件。Ngc文件内包含了一些初始化内容,包括通过coe文件初始化的ram等等。   

2013-10-21 21:08:27 4201 2

原创 Zedboard CrossCompile Linux 交叉编译环境建立

楼主也是刚涉及这部分,所以写错的地方欢迎大家指正。交叉编译环境简单的说不过就是想在一个系统下编译另一个系统要使用的可执行文件。因此在通过PC机(虚拟机)开发ARM系统时是必要的。下面开始讲安装步骤。第一是开始准备。Linux系统的准备,楼主用的是Centos。虚拟机。第二是下载petalinux-sdk。 网址:http://www.xilinx.c

2013-09-12 21:58:55 3541

原创 树莓派调出配置菜单

sudo raspi-config

2013-08-20 21:12:50 1680

转载 VIM编辑器设置

在终端下使用vim进行编辑时,默认情况下,编辑的界面上是没有显示行号、语法高亮度显示、智能缩进等功能的。为了更好的在vim下进行工作,需要手动设置一个配置文件:.vimrc。在启动vim时,当前用户根目录下的.vimrc文件会被自动读取,该文件可以包含一些设置甚至脚本,所以,一般情况下把.vimrc文件创建在当前用户的根目录下比较方便,即创建的命令为:$vi ~/.vimrc

2013-07-19 11:13:38 559

转载 Failed to open design unit file "%XILINX%/verilog/src/glbl.v

To work around the error, edit the ".fdo" file and add double quotation marks to any vlog commands that contain spaces in the directory file name.For example:Change:vlog C:/Program Files/Xil

2013-07-19 11:12:55 1498

转载 Linux 软件安装

1、软件安装卸载,分几种情况:A:RPM包,这种软件包就像windows的EXE安装文件一样,各种文件已经编译好,并打了包,哪个文件该放到哪个文件夹,都指定好了,安装非常方便,在图形界面里你只需要双击就能自动安装。==如何卸载:1、打开一个SHELL终端2、因为Linux下的软件名都包括版本号,所以卸载前最好先确定这个软件的完整名称。查找RPM包软件:rpm -qa ×

2013-07-19 11:03:58 486

原创 Vim出现缺少ncurses库

checking for tgetent()... configure: error: NOT FOUND!      You need to install a terminal library; for example ncurses.      Or specify the name of the library with --with-tlib.说明

2013-07-19 11:03:12 897

转载 Yum 使用

yum(全称为 Yellow dog Updater, Modified)是一个在Fedora和RedHat以及SUSE中的Shell前端软件包管理器。基於RPM包管理,能够从指定的服务器自动下载RPM包并且安装,可以自动处理依赖性关系,并且一次安装所有依赖的软体包,无须繁琐地一次次下载、安装。yum提供了查找、安装、删除某一个、一组甚至全部软件包的命令,而且命令简洁而又好记。yum的命令

2013-07-19 11:01:36 521

转载 Tar解压

tar-c: 建立压缩档案-x:解压-t:查看内容-r:向压缩归档文件末尾追加文件-u:更新原压缩包中的文件这五个是独立的命令,压缩解压都要用到其中一个,可以和别的命令连用但只能用其中一个。下面的参数是根据需要在压缩或解压档案时可选的。-z:有gzip属性的-j:有bz2属性的-Z:有compress属性的-v:显示所有过程-O:将文件解开到

2013-07-19 10:58:29 462

转载 centos xmanager

1)编辑/etc/gdm/custom.conf,内容如下:[daemon][security]AllowRemoteRoot=true[xdmcp]Port=177Enable=1[gui][greeter][chooser][debug][servers] 复制custom.conf到gdm.conf>cp custom.conf gdm

2013-07-19 10:57:26 599

转载 Linux 防火墙

Linux还是比较常用的,于是我研究了一下Linux关闭防火墙命令,在这里拿出来和大家分享一下,希望你能学会Linux关闭防火墙命令 。1) 永久性生效,重启后不会复原开启: chkconfig iptables on关闭: chkconfig iptables off2) 即时生效,重启后复原开启: service iptables start关闭

2013-07-19 10:55:56 745

转载 用windows远程连接linux桌面(使用tightvnc或者tigervnc)

http://blog.chinaunix.net/uid-26642180-id-3135447.html

2013-07-19 10:55:20 1148

转载 linux下IPTABLES配置详解

linux下IPTABLES配置详解如果你的IPTABLES基础知识还不了解,建议先去看看. 开始配置 我们来配置一个filter表的防火墙. (1)查看本机关于IPTABLES的设置情况 [root@tp ~]# iptables -L -n Chain INPUT (policy ACCEPT) target prot opt source

2013-07-19 10:53:46 414

转载 linux网卡

昨天redhat9的网卡莫名其妙的掉了,折腾了2天,终于弄好了,我感觉费劲不小,我把我的步骤发出来,如果大家遇到同样的问题,希望少走点弯路,也希望大家一起讨论和批评指正。 1,解压 tar -zxvf xxx.tar.gz 到当前目录 cd xxx 2,编译 make 生成8139too.o 3, cp 8139too.o lib/modules/2.4.20-

2013-07-19 10:52:27 581

转载 math.h

1、 三角函数 double sin (double);正弦 double cos (double);余弦 double tan (double);正切 2 、反三角函数 double asin (double); 结果介于[-PI/2, PI/2] double acos (double); 结果介于[0, PI] double atan (double); 反正切(主值), 结果介于[

2013-07-19 10:51:19 535

转载 modelsim改变波形背景颜色

将鼠标点击激活wave窗口,然后在菜单栏选择tools--edit preferences,选择window list中的wave windows,选择右边的wavebackground,这就是设置背景颜色的

2013-07-19 10:50:26 7264

原创 Module 'rom' is not defined 错误的解决办法

出现Module 'rom' is not defined:解决如下:在ModelSim中执行“Compile——Complie...”,出现“Copile Source Files”对话框,然后根据自己的生成的ROM(我的是rom_sim.v文件),双击安装一次,之后就不会出现问。重启。

2013-07-19 10:49:59 4096

转载 distributed ram && block ram

xilinx spartan3an系列fpga:       一个CLB包含4个SLICE,其中左边两个SLICE可用于存储、移位寄存器和逻辑配置,称为SLICEM;右边的两个SLICE只能用于逻辑组合,称为SLICEL。每个SLICE中有2个LUT,2个存储单元,多路复用器,进位链等。一个LUT和一个存储单元称为一个LOGIC CELL,因此通常一个SLICE等效于2.25个LOGIC

2013-07-19 10:49:09 1186

转载 ftp服务器配置

1、FTP服务器的简介关于ftp的介绍,大家也一定不陌生了。我就直接把百度百科上的介绍拿过来,和大家一起温习一下概念。FTP 是File Transfer Protocol(文件传输协议)的英文简称,而中文简称为“文传协议”。用于Internet上的控制文件的双向传输。同时,它也是一个应用程序(Application)。基于不同的操作系统有不同的FTP应用程序,而所有这些应用程序都

2013-07-19 10:45:53 541

原创 .mif 文件 注意的地方

WIDTH=64;DEPTH=64;ADDRESS_RADIX=UNS;DATA_RADIX=HEX;

2013-07-19 10:38:57 627

转载 signal tap

Signal Tap II有助于观察逻辑内部信号行为,可使不借助外部设备进行设计调试。(当然使用的前提条件是有硬件平台)Signal Tap II ELA 组成框图采样获得的数据会存储在器件的存储器块中,通过JTAG下载线可以把数据传回Quartus 中进行波形显示。 可以设置数据采集缓冲器(Buffers)的大小、数据采集方式和存储方式、以及此Buffer的存储器类型。 可以在一片F

2013-07-19 10:31:22 1512

转载 debussy 使用

modelsim是windows比较常用的仿真工具,虽然编译没有VCS快,但是比较方便。但是调适能力却比不debussy,而debussy没有编译能力,所有一般设计者在windows下习惯使用modelsim+debussy.本文将详细介绍Modelsim+debussy调试基本步骤:Modelsim阶段:a.将debussy安装目录下的\share\PLI\modelsim_fl

2013-07-19 10:30:09 1284

CMOS模拟集成电路设计

CMOS模拟集成电路设计

2013-03-28

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除