debussy 使用

 modelsim是windows比较常用的仿真工具,虽然编译没有VCS快,但是比较方便。但是调适能力却比不debussy,而debussy没有编译能力,所有一般设计者在windows下习惯使用modelsim+debussy.本文将详细介绍Modelsim+debussy调试基本步骤:

Modelsim阶段:

a.将debussy安装目录下的\share\PLI\modelsim_fli54\WINT下的novas.dll拷贝到modelsim安装目录下的win32文件加下。

b.将modelsim安装路径下的modelsim.ini中的;Veriuser = veriuser.sl,改为Veriuser=novas.dll,注意吧“;”去掉。

c.在testbench中写入initial begin $fsdbDumpfile("wave.fdsb"); $fsdbDumpvars; end

d.仿真testbench后,在vsim中用quit -sim,结束仿真。如果不这样,在用debussy添加波形时,不会出现波形。

注意:起初用的是Modelsim SE10.0,但是设置不成功;之后用Modelsim SE6.1 就OK了。
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值