Xilinx ISE Black Box使用

本文详细介绍了如何在Xilinx ISE中将已完成的设计封装为IP核,并在新工程中进行实例化。关键步骤包括取消添加IO Buffers以避免报错,创建Wrapper文件,将相关文件复制到新工程并设置Macro Search Path,以及生成仿真模型以查看波形。
摘要由CSDN通过智能技术生成

Xilinx ISE Black Box使用

正常的Xilinx设计,无论里面是否调用了IP核,可以封装成和普通的IP核一样的形式。由NGC网表文件和一个标有顶层模块输入输出的wrapper文件组成。在新的设计调用此生成的IP核的时候,只要将wrapper实例化即可。Translate的时候会自动替换成ngc文件。Ngc文件内包含了一些初始化内容,包括通过coe文件初始化的ram等等。

       1、下面是具体操作的过程,现假设有一个已经完成的工程,在Synthesize上面右键,选择Process Properties


将Add I/O Buffers去掉,这是因为,正常的综合过程会在顶层模块加IO Buffer,但是我们生成的模块是用作其他设计的内部实例化,不用做顶层模块,因此要去掉。否则会报错,因为里面加了两次IO buffer。


       2、然后就可以综合了,会得到to

评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值