自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

ascend的专栏

纸上得来终觉浅,绝知此事要躬行

  • 博客(22)
  • 资源 (2)
  • 收藏
  • 关注

转载 Tcl In QuartusII

经过一周的学习,对Tcl已经有了大概的认识,虽然还不能很顺手地编写代码,但是已经基本能看懂大段的Tcl代码了。在第一节中,咱说过学习Tcl是为了更好地协助硬件开发,因此更深层次的东西咱也不学习了,学了如果不实践的话就会很快淡忘,这也是咱这几年学习的小经验:“实践就是最好的学习”。记得还在学校的时候,导师就会派各种活儿给咱,不管咱会不会,最开始咱主攻的可是FPGA,结果FPGA的活儿没有,单片机、A

2014-04-30 16:49:16 1660

转载 危险的未分配引脚(FPGA)

一波三折——危险的“未分配”引脚     第一折。半个月前,美国的同事对当前的一个工程进行了编译,并提交二进制文件(FPGA配置文件)给软件工程师进行集成。结果该二进制文件导致了整个系统的崩溃:FPGA二进制文件刚下载完毕,整个系统就不工作了。这一事件导致了美国FPGA工程师一整天的停工和系统恢复。Kevin给出的分析结果是,FPGA给出的中断信号有问题,该信号经过CPLD转发到CPU

2014-04-30 16:06:50 3194

转载 OpenRisc-25-ORPSoC,openrisc相关资料汇总

目录(?)[+]引言网络上关于openrisc的资料很多,除了官网之外,还有很多有价值的资料值得借鉴,但是,这些资料比较分散,查找起来很是不易,为了能方便自己,也方便别人,本小节就把我遇到的不错的网址或者资料list了一下。如果哪位还有不错的资料,可以写到评论里面,我会及时的更新本文档,方便更多人查找。国内我们有一个qq群

2014-04-30 09:38:23 674

转载 __asm__ __volatile__("": : :"memory");内存屏障(memory barrier)

内存屏障(memory barrier)[zz]  首先看看__set_current_state()和set_current_state()函数的区别#define __set_current_state(state_value) /do { current->state = (state_value); } while (

2014-04-29 21:41:09 1325

原创 用Visual Studio 2010 编写C程序(详细步骤)

1.

2014-04-29 00:16:57 6245 1

转载 ACM基本输入输出

在开始做ACM时,会面临一个输入输出数据的问题,ACM里的输入输出数据和平时的写程序不大一样。为什么会不一样呢,这就牵涉到评测系统怎么判断你提交的程序是正确的。实际上评测系统是把程序的标准输入输出数据都是放在文本文件里,你提交的程序会先经过编译,然后运行,从输入文件中读取数据,然后把结果输出到一个文本文件中,评测系统再把标准的输出文件和你提交的程序运行的结果的输出文件进行对比,从而判断你提交的程序

2014-04-27 15:10:30 586

转载 void及void指针含义的深刻解析

编译器语言parametersp2pfunction目录(?)[+]void的含义void即“无类型”,void *则为“无类型指针”,可以指向任何数据类型。void指针使用规范①void指针可以指向任意类型的数据,亦即可用任意数据类型的指针对void指针赋值。例如:int * pint;void *pvoid;pvoid =

2014-04-27 11:16:05 491

转载 基于DE2-115的LCD1602应用

module LCD(clk,rst,LCD_E,LCD_RW,LCD_RS,LCD_DATA);  input clk;              //时钟信号,50Mhz  input rst;              //复位信号,低电平进行复位  output LCD_E;           //1602使能引脚,1时读取信息,1->0(下降沿)执行命令  output LCD

2014-04-24 14:46:23 2199 1

转载 舵机详解

原文地址:http://www.geek-workshop.com/thread-70-1-1.html舵机(英文叫Servo):它由直流电机、减速齿轮组、传感器和控制电路组成的一套自动控制系统。通过发送信号,指定输出轴旋转角度。舵机一般而言都有最大旋转角度(比如180度。)与普通直流电机的区别主要在,直流电机是一圈圈转动的,舵机只能在一定角度内转动,不能一圈圈转(数字舵机可以在舵机模式和电机

2014-04-24 08:46:52 23590

转载 ioctl与unlocked_ioctl区别

今天调一个程序调了半天,发现应用程序的ioctl的cmd参数传送到驱动程序的ioctl发生改变。而根据《linux设备驱动》这个cmd应该是不变的。因为在kernel 2.6.36 中已经完全删除了struct file_operations 中的ioctl 函数指针,取而代之的是unlocked_ioctl ,所以我怀疑二者是不是兼容的。上网查了一些资料,很多文章只是泛泛谈了一下,说在应用程序中

2014-04-22 17:15:29 458

转载 linux硬件设备操作函数 open(/dev/ietctl, O_RDWR|...)

open(/dev/ietctl, O_RDWR) 这是文件I/O的常用函数,open函数,open函数用来打开一个设备,他返回的是一个整型变量,如果这个值等于-1,说明打开文件出现错误,如果为大于0的值,那么这个值代表的就是文件描述符。一般的写法是if((fd=open("/dev/ttys0",O_RDWR | O_NOCTTY | O_NDELAY)perror("open");

2014-04-22 16:25:23 907

转载 JLINK与JTAG的区别

调试ARM,要遵循ARM的调试接口协议,JTAG就是其中的一种。当仿真时,IAR、KEIL、ADS等都有一个公共的调试接口,RDI就是其中的一种, 那么我们如何完成RDI-->ARM调试协议(JTAG)的转换呢? 有以下两种做法:    1. 在电脑上写一个服圌务程序,把IAR、KEIL和ADS中的RDI命令解析成相关的JTAG协议,然后通后一个物理转换接口(注意,这个转换只是电气物理层上的转

2014-04-22 11:22:16 648

转载 LDO低压差线性稳压器

LDO是low dropout regulator,意为低压差线性稳压器,是相对于传统的线性稳压器来说的。传统的线性稳压器,如78xx系列的芯片都要求输入电压要比输出电压高出2v~3V以上,否则就不能正常工作。但是在一些情况下,这样的条件显然是太苛刻了,如5v转3.3v,输入与输出的压差只有1.7v,显然是不满足条件的。针对这种情况,才有了LDO类的电源转换芯片

2014-04-21 22:09:13 1697

转载 云技术

云计算(cloud computing)[1],分布式计算技术的一种,其最基本的概念,是透过网络将庞大的计算处理程序自动分拆成无数个较小的子程序,再交由多部服务器所组成的庞大系统经搜寻、计算分析之后将处理结果回传给用户。透过这项技术,网络服务提供者可以在数秒之内,达成处理数以千万计甚至亿计的信息,达到和“超级计算机”同样强大效能的网络服务。云计算技术体系结构分为4层:物理资源层、资源池层、管理中间

2014-04-21 10:41:01 1406

转载 wlan和wifi的区别

[导读] 很多人在上网时就会发现家里用的电脑无线上网是WLAN,而手机或是平板电脑上网又叫WIFI。都是一样的无线上网,怎么会有二个不同的名字,那么wlan和wifi的意思是什么呢?wlan和wifi的的

2014-04-21 00:17:29 1206

原创 二极管电路研究2-1

一、二极管基本概念1.正向额定dian

2014-04-15 11:13:14 1046

原创 电容的原理与应用(补充中)

参考文献 :《高速电路设计》王剑宇 苏颖 编著

2014-04-14 17:32:46 603

原创 电感与磁珠(补充中)

参考文献 :高速电路设计 王剑yu

2014-04-14 17:15:32 1074

转载 关于S3C6410的SD卡启动

要研究裸机程序的编写,必须要有一个“全裸”的环境。友善提供的superboot可以提供执行用户自定义程序的能力,但其实这样运行的程序环境还是依赖于superboot的, 那些内存初始化、时钟初始化,串口初始化等工作都已经由superboot做了。tiny6410支持nandflash和sd卡启动两种模式,nandflash的烧写还是依赖superboot,所以比较简便的方法是通过sd卡启动,sup

2014-04-10 09:28:38 660

转载 RC微分电路、积分电路和低通滤波电路LPF

RC微分电路、积分电路和低通滤波电路LPF网上转来的 原始作者未知 1.RC电路的矩形脉冲响应若将矩形脉冲序列信号加在电压初值为零的RC串联电路上,电路的瞬变过程就周期性地发生了。显然,RC电路的脉冲响应就是连续的电容充放电过程。如图所示。若矩形脉冲的幅度为U,脉宽为tp。电容上的电压可表示为:电阻上的电压可表示为:即当 0到t1时,电容被充电;当t1到t2

2014-04-10 09:19:37 5606

原创 二极管电路研究2-2

本文是搜集网上的一些资料总结而成

2014-04-09 22:10:40 1336

转载 Quartus常见错误分析

Quartus常见错误分析 ErrorWarning: VHDL Process Statement warning at random.vhd(18): signal reset is in statement, but is not in sensitivity list----没把singal放到process()中

2014-04-01 11:23:03 8953

DE2引脚配置CSV文件

DE2开发板引脚配置信息,可以直接通过quartus ii 软件 assignments->import assignments导入,使用时注意引脚信息的对应关系。

2014-02-17

crc5_verilog

crc5的verilog实现和仿真。文件包括crc5.v和testbench文件crc5.vt以及仿真效果图。

2013-10-06

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除