UVM
blkhumor
这个作者很懒,什么都没留下…
展开
-
【SV】流操作符
流操作符作用把其后的数据打包成一个比特流>>和<<操作符>>把数据从左向右变成流,<<则把数据从右到左变成流。注意:可以指定一个片段宽度,把源数据按照这个宽度分段以后再转变成流。例如h={>>1{j}} 或h={>> {j}},片段宽度为1变为流h={>>8{j}} 或 h={>>byte{j}};以片段宽度为8(byte)变为流运行结果# h={>>{j}} //第一行为操原创 2022-04-27 21:42:41 · 7277 阅读 · 0 评论 -
【UVM】调用peek、poke后,后台访问无变化
项目场景:在UVM中使用寄存器模型的后门模式读写寄存器问题描述使用poke函数修改寄存器中的值,无报错,但没有将值写入进DUT中 p_sequencer.p_rm.counter.read(status,value,UVM_FRONTDOOR); `uvm_info(get_type_name(), $sformatf("counter's initial value(FRONT DOOR) is %0h",value),UVM_LOW) p_sequencer.原创 2022-04-10 18:11:47 · 1487 阅读 · 0 评论 -
【UVM】Please check the type of the variable ‘p_sequencer‘
编译报错:Please check the type of the variable ‘p_sequencer’可能的原因这很可能是由于未导入包含两个类的声明的包而导致的。class vsqr extends uvm_sequencer; spi_sequencer p_spi_sqr; apb_sequencer p_apb_sqr; `uvm_component_utils(vsqr)// 类的声明 function new(string name, uvm_comp原创 2022-04-04 19:12:57 · 383 阅读 · 0 评论