UVM——多向通信

UVM——多向通信

概述

  • 多向通信(multi_directional communication),这种方式服务的仍然是两个组件之间的通信,但是是由多个通信端口来构成的,而不是多个组件之间的通信,毕竟多个组件的通信仍然可以由基础的两个组件的通信方式来构建;
  • 多向通信指的是,如果initiator与target之间的相同TLM端口数目超过一个时的处理解决办法;
  • comp1有两个uvm_blocking_put_port,而comp2有两个uvm_blocking_put_imp端口,对于端口的例化可以给出不同名字,连接也可以通过不同名字来索引,但问题在于comp2中需要实现两个task put(itrans t),又因为不同端口之间要求在imp端口一侧实现专属方法,这就造成了方法命名冲突,即无法在comp2中定义两个同名的put任务;
  • UVM通过端口宏声明方式来解决这一问题,它解决问题的核心在于让不同端口对应不同名的任务,这样就不会造成方法名的冲突。UVM为解决多向通信问题的宏按照端口名的命名方式分为:
    • `uvm_blocking_put_imp_decl(SFX)
    • `uvm_nonblocking_put_imp_decl(SFX)
    • `uvm_put_imp_decl(SFX)
    • `uvm_blocking_get_imp_decl(SFX)
    • `uvm_nonblocking_get_imp_decl(SFX)
    • `uvm_get_imp_decl(SFX)
    • `uvm_blocking_peek_imp_decl(SFX)
    • `uvm_nonblocking_peek_imp_decl(SFX)
    • `uvm_peek_imp_decl(SFX)
    • `uvm_blocking_get_peek_imp_decl(SFX)
    • `uvm_nonblocking_get_peek_imp_decl(SFX)
    • `uvm_get_peek_imp_decl(SFX)
    • `uvm_blocking_transport_imp_decl(SFX)
    • `uvm_nonblocking_transport_imp_decl(SFX)
    • `uvm_transport_imp_decl(SFX)
    • `uvm_blocking_master_imp_decl(SFX)
    • `uvm_nonblocking_master_imp_decl(SFX)
    • `uvm_master_imp_decl(SFX)
    • `uvm_blocking_salve_imp_decl(SFX)
    • `uvm_nonblocking_slave_imp_decl(SFX)
    • `uvm_slave_imp_decl(SFX)

示例

在这里插入图片描述

`uvm_blocking_put_imp_decl(_p1)  // 定义了一个新的imp的端口类型,叫uvm_blocking_put_imp_p1,_p1是后缀名称
`uvm_blocking_put_imp_decl(_p2)  // 定义了一个imp,叫uvm_blocking_put_imp_p2,_p2是后缀名称
class comp1 extends uvm_component;
  uvm_blocking_put_port #(itrans) bp_port1;
  uvm_blocking_put_port #(itrans) bp_port2;
  `uvm_component_utils(comp1)
  ...
  task run_phase(uvm_phase phase);
    itrans itr1, itr2;
    int trans_num = 2;
    fork
      for(int i = 0; i < trans_num; i++) begin
        itr1 = new("itr1", this);
        itr1.id = i;
        itr1.data = 'h10 + i;
        this.bp_port1.put(itr1);
      end
      for(int i = 0; i < trans_num; i++) begin
        itr2 = new("itr1", this);
        itr2.id = 'h10 + i;
        itr2.data = 'h20 + i;
        this.bp_port2.put(itr2);
      end
    join
  endtask
endclass

class comp2 extends uvm_component;
  // 前面已经通过宏声明的方式定义了两个新的imp端口类型,`uvm_blocking_put_imp_decl(_p1)
  uvm_blocking_put_imp_p1 #(itrans, comp2) bt_imp_p1;	// 端口名称添加后缀
  uvm_blocking_put_imp_p2 #(itrans, comp2) bt_imp_p2;
  itrans itr_q($);	// 两个imp接收到的数据都会push_back到同一个队列中
  semaphore key;	// 用旗语可以对共享资源做互斥访问保护
  `uvm_component_utils(comp2)
  ...
  task put_p1(itrans t);	// 方法名称添加后缀
    key.get();	// task中可以用get阻塞的方式,如果是在function中,只能用try_get()或者can_get(),如果拿不到钥匙,就要立即返回0
    itr_q.push_back(t);
    `uvm_info("PUTP1", $sformatf("put itrans id: 'h0x, data: 'h0x", t.id, t.data), UVM_LOW)
    key.put();
  endtask
  task put_p2(itrans t);
    key.get();
    itr_q.push_back(t);
    `uvm_info("PUTP2", $sformatf("put itrans id: 'h0x, data: 'h0x", t.id, t.data), UVM_LOW)
    key.put();
  endtask
endclass

class env1 extends uvm_env;
  comp1 c1;
  comp2 c2;
  `uvm_component_utils(env1)
  ...
  function void build_phase(uvm_phase phase);
    super.build_phase(phase);
    c1 = comp1::type_id::create::("c1", this);
    c2 = comp2::type_id::create::("c2", this);
  endfunction: build_phase
  function void connect_phase(uvm_phase phase);
    super.connect_phase(phase);
    c1.bp_port1.connect(c2.bt_imp_p1);
    c1.bp_port2.connect(c2.bt_imp_p2);
  endfunction: connect_phase
endclass
  • 当一个组件的两个端口通过相同方法(譬如task put())向另外一个组件传输数据时,就需要使用宏,分别声明两个不同imp类型,完整的实现步骤包括:

    • 选择正确的imp宏来定义不同的imp端口类型,而宏的参数SFX(后缀名)也会转化为相应的imp端口类型名;
    • imp所例化的组件中,分别实现不同的put_SFX方法;
    • port所例化的组件中,不需要对目标imp端口类型做区分,例如comp1中的bp_port1bp_port2为相同的端口类型;
    • 对于comp1调用put()方法,它只需要选择bp_port1或者bp_port(),而不需要更替put()方法名,即仍然按照put()来调用而不是put_p1()或者put_p2()
    • 在上层环境连接comp1comp2TLM端口;
  • 在port一侧调用put(),而不是put_p1()、put_p2(),它会自动转化调用p1还是p2,这样就不用担心imp一侧的后缀名究竟改成啥了,省时省力,降低耦合性

总结

  • 用户只需要在例化多个imp端口的组件中实现不同名称的方法,使其与对应imp类型名保持一致;
  • 而对于port端口一侧的组件,则不需要关心调用的方法名称,因为该方法名并不会发生改变;
  • 所以通过这种方式可以防止通信方法名的冲突,从而解决多向通信的问题;
  • 1
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值