Verdi 覆盖率文件的打开、merge、存储

Verdi 覆盖率文件的打开、merge、存储

当一次回归任务结束,会看到【xxx.vdb】文件夹的生成,
在这里插入图片描述
每一次回归任务都是提交很多用例(test),每一个用例(test)的代码覆盖率都是单独列出,总的代码覆盖率需要把所有用例的merge一下,有两种方法:
1、 打开Verdi,在图形化界面选中,打开数据库文件,选中要merge的.vdb文件,choose后可以在test name框中看到所有提交的用例,全选点开始OK,就开始merge,无尽的等待。。。。

verdi -cov &
或者
verdi -covdir &

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

2、在终端,进入regress_xxx目录下输入命令merge

verdi -cov -covdir cov.vdb/ &

之后,弹出verdi界面,也是无尽的等待。。。。
在这里插入图片描述





覆盖率合并中出现下面的,永远选wait
在这里插入图片描述

  • 3
    点赞
  • 62
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值