数字逻辑综合工具 synopsis DC学习笔记(1)

1.DC的工作流程
DC工作流程
(1)撰写RTL代码
(2)配置setup文件(linux系统的要求)
(3)创建约束文件
(4)编译
在这里插入图片描述
RTL + Constrains -> GTECH ->优化、映射到.ddc文件
在这里插入图片描述
两种RC连接线模型(用于模拟延时的影响)
(1)线负载模型:早期使用,精度较低
(2)拓扑模型
在这里插入图片描述
lib文件。.db文件给DC,.lib文件供人阅读。
上面的ff,ss,tt表示fast-fast,slow-slow和typical-typical,是工艺角相关的参数。
在这里插入图片描述
目录:config:配置文件(.setup文件)
mapped:综合后的网表
report:时序/功耗等检查的报告
rtl:rtl代码
script:约束文件
unmapped:GETCH文件
work:dc工作目录
在这里插入图片描述
以topo模式启动dc_shell,过程保存在dc_start.log文件中
(其中|为管道命令,将前一个命令输出的结果交给后一个命令处理)
在这里插入图片描述
查看保存的log文件。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值