cpu之Fetch_Decode

24 篇文章 1 订阅
module Fetch_Decode(
  input wire clk,
  
  input wire stall_id,
  input wire[1:0] stall_branch_t,
	input wire[31:0] InstrF,
	input wire[31:0] PCPlus4F,
	output reg[31:0] InstrD,
	output reg Is_First,//判断是第几次译码
	output reg[31:0] PCPlus4D
);

reg [31:0] last_InstrD;
reg [31:0] last_PCPlus4D;

always @(posedge clk) begin
	if (stall_branch_t==2'b01) begin
		InstrD <=32'h0;
		last_InstrD <= 32'h0;
	  PCPlus4D <= 32'h0;
	  last_PCPlus4D <= 32'h0;
	  Is_First <= 1'b1;
	end else begin
		if (stall_id==1'b0) begin
		   InstrD <=InstrF;
		   last_InstrD <= InstrF;
	     PCPlus4D <= PCPlus4F;
	     last_PCPlus4D <= PCPlus4F;
	     Is_First <= 1'b1;
	  end else begin
		     InstrD <=last_InstrD;
	       PCPlus4D <= last_PCPlus4D;
	       Is_First <= 1'b0;
	      end
		
	end
	
end

endmodule

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值