Multisim14.0仿真(三十四)两个74LS160芯片并联构成一个100基数计数器Multisim仿真设计

一、74LS160简介:

74LS160是常用的数字逻辑IC,为十进制计数器,具有计数、置数、禁止清零等功能。

74LS160内部是由D触发器和逻辑门电路构成的。

74LS160具有两个使能端ENP和ENT,高电平有效,具有一个清零端MR,低电平有效,在计数时需要接高电平。D0-D3是并行输入,Q0-Q3是输出端,而且具有进位端RCO。工作在计数模式时,ENP和ENT两个使能端接高电平,MR清零端接高电平使其无效,置数引脚LOAD接高电平,通过在CLK引脚输入脉冲信号来触发计数

二、74LS160引脚定义:

三、74LS160内部原理框图:

四、74LS160功能表:

五、74LS160时序图:

  • 5
    点赞
  • 14
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

colin工作室

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值