WM8994寄存器列表(花了点时间整理的,总共800行,寄存器实在太多了)

寄存器地址寄存器名称 中文名称bit标签默认值描述初始值说明
R0 (00h)Software Reset软复位0.625SW_RESET[15:0]0x8994写入该寄存器会复位所有寄存器为默认值;
读取为设备id:0x8994
  
R1 (01h)Power Management(1)电源管理(1)13SPKOUTR_ENA0SPKMIXR混合器,SPKRVOL PGA和SPKOUTR输出使能
0 = Disabled
1 = Enabled
  
12SPKOUTL_ENA0SPKMIXL混合机,SPKLVOL PGA和SPKOUTL输出使能
0 = Disabled
1 = Enabled
  
11HPOUT2_ENA0HPOUT2输出使能
0 = Disabled
1 = Enabled
  
9HPOUT1L_ENA0启用HPOUT1L输入
0 = Disabled
1 = Enabled
注意:设置HPOUT1_AUTO_PU时,HPOUT1L_ENA位自动使能左耳机驱动器
  
8HPOUT1R_ENA0启用HPOUT1R输入
0 = Disabled
1 = Enabled
注意:设置HPOUT1_AUTO_PU时,HPOUT1R_ENA位自动使能右耳机驱动器
  
5MICB2_ENA0麦克风偏置2启用
0 = OFF (高阻抗输出)
1 = ON
  
4MICB1_ENA0麦克风偏置1启用
0 = OFF (高阻抗输出)
1 = ON
  
0.084VMID_SEL[1:0]0VMID Divider启用和选择
00 = VMID disabled (关闭模式)
01 = 2 x 40kohm divider (正常)
10 = 2 x 240kohm divider (低功耗待机)
11 = 2 x 5kohm divider (快速启动)
  
0BIAS_ENA0启用正常偏置电流发生器(对于所有模拟功能)
0 = Disabled
1 = Enabled
  
R2 (02h)Power Management (2)电源管理(2)14TSHUT_ENA1温度传感器启用
0 = disabled
1 = enabled
  
13TSHUT_OPDIS1热关断控制(如果音频输出发生过热自动关闭,但是温度感器必须被启用TSHUT_ENA=1。)
0 = disabled
1 = enabled
  
11OPCLK_ENA0GPIO时钟输出(OPCLK)使能
0 = Disabled
1 = Enabled
  
9MIXINL_ENA0左输入混音器启用(启用MIXINL和RXVOICE输入到MIXINL)
0 = Disabled
1 = Enabled
  
8MIXINR_ENA0右输入混音器启用(启用MIXINR和RXVOICE输入到MIXINR)
0 = Disabled
1 = Enabled
  
7IN2L_ENA0IN2L输入PGA使能
0 = Disabled
1 = Enabled
  
6IN1L_ENA0IN1L输入PGA使能
0 = Disabled
1 = Enabled
  
5IN2R_ENA0IN2R输入PGA使能
0 = Disabled
1 = Enabled
  
4IN1R_ENA0IN1R输入PGA使能
0 = Disabled
1 = Enabled
  
R3 (03h)Power Management (3)电源管理(3)13LINEOUT1N_ENA0LINEOUT1N线路输出和LINEOUT1NMIX使能
0 = Disabled
1 = Enabled
  
12LINEOUT1P_ENA0LINEOUT1P线路输出和LINEOUT1PMIX使能
0 = Disabled
1 = Enabled
  
11LINEOUT2N_ENA0LINEOUT2N线路输出和LINEOUT2NMIX使能
0 = Disabled
1 = Enabled
  
10LINEOUT2P_ENA0LINEOUT2P线路输出和LINEOUT2PMIX使能
0 = Disabled
1 = Enabled
  
9SPKRVOL_ENA0SPKMIXR混合器和SPKRVOL PGA启用
0 = Disabled
1 = Enabled
注意:当设置了SPKOUTR_ENA时,还需要启用SPKMIXR和SPKRVOL。
  
8SPKLVOL_ENA0SPKMIXL混合器和SPKLVOL PGA启用
0 = Disabled
1 = Enabled
注意:设置SPKOUTL_ENA时,还需要启用SPKMIXL和SPKLVOL。
  
7MIXOUTLVOL_ENA0MIXOUTL左音量控制启用
0 = Disabled
1 = Enabled
  
6MIXOUTRVOL_ENA0MIXOUTR右音量控制启用
0 = Disabled
1 = Enabled
  
5MIXOUTL_ENA0MIXOUTL左输出混音器启用
0 = Disabled
1 = Enabled
  
4MIXOUTR_ENA0MIXOUTR右输出混音器启用
0 = Disabled
1 = Enabled
  
R4 (04h)Power Management (4)电源管理(4)13AIF2ADCL_EN
A
0启用AIF2ADC(左)输出路径
0 = Disabled
1 = Enabled
  
12AIF2ADCR_ENA0启用AIF2ADC(右)输出路径
0 = Disabled
1 = Enabled
  
11AIF1ADC2L_E
NA
0启用AIF1ADC2(左)输出路径(AIF1,时隙1)
0 = Disabled
1 = Enabled
  
10AIF1ADC2R_E
NA
0启用AIF1ADC2(右)输出路径(AIF1,时隙1)
0 = Disabled
1 = Enabled
  
9AIF1ADC1L_E
NA
0启用AIF1ADC1(左)输出路径(AIF1,时隙0)
0 = Disabled
1 = Enabled
  
8AIF1ADC1R_E
NA
0启用AIF1ADC1(右)输出路径(AIF1,时隙0)
0 = Disabled
1 = Enabled
  
5DMIC2L_ENA0数字麦克风DMICDAT2左声道启用
0 = Disabled
1 = Enabled
  
4DMIC2R_ENA0数字麦克风DMICDAT2右声道启用
0 = Disabled
1 = Enabled
  
3DMIC1L_ENA0数字麦克风DMICDAT1左声道启用
0 = Disabled
1 = Enabled
  
2DMIC1R_ENA0数字麦克风DMICDAT1右声道启用
0 = Disabled
1 = Enabled
  
1ADCL_ENA0左ADC使能
0 = ADC disabled
1 = ADC enabled
  
0ADCR_ENA0右ADC使能
0 = ADC disabled
1 = ADC enabled
  
R5(05h)Power Management (5)电源管理(5)13AIF2DACL_EN
A
0启用AIF2DAC(左)输入路径
0 = Disabled
1 = Enabled
  
12AIF2DACR_EN
A
0启用AIF2DAC(右)输入路径
0 = Disabled
1 = Enabled
  
11AIF1DAC2L_E
NA
0启用AIF1DAC2(左)输入路径(AIF1,时隙1)
0 = Disabled
1 = Enabled
  
10AIF1DAC2R_E
NA
0启用AIF1DAC2(右)输入路径(AIF1,时隙1)
0 = Disabled
1 = Enabled
  
9AIF1DAC1L_E
NA
0使能AIF1DAC1(左)输入路径(AIF1,时隙0)
0 = Disabled
1 = Enabled
  
8AIF1DAC1R_E
NA
0启用AIF1DAC1(右)输入路径(AIF1,时隙0)
0 = Disabled
1 = Enabled
  
3DAC2L_ENA0左DAC2使能
0 = Disabled
1 = Enabled
  
2DAC2R_ENA0右DAC2使能
0 = Disabled
1 = Enabled
  
1DAC1L_ENA0左DAC1使能
0 = Disabled
1 = Enabled
  
0DAC1R_ENA0右DAC1使能
0 = Disabled
1 = Enabled
  
R6 (06h)Power Management (6)电源管理(6)5AIF3_TRIS0AIF3音频接口三态
0 = AIF3 接口正常运作
1 = 使所有AIF3接口引脚处于三态
注意,未配置为AIF3功能的引脚不是受此寄存器影响。
  
0.1688AIF3_ADCDAT
_SRC[1:0]
0GPIO9/ADCDAT3来源选择
00 = AIF1 ADCDAT1
01 = AIF2 ADCDAT2
10 = GPIO5/DACDAT2
11 = 保留
注意,GPIO9必须配置为ADCDAT3。 对于选择11,GPIO5引脚也必须配置为DACDAT2。
  
2AIF2_ADCDAT
_SRC
0GPIO7/ADCDAT2 来源选择
0 = AIF2 ADCDAT2
1 = GPIO8/DACDAT3
注意,GPIO7必须配置为ADCDAT2。 对于选择1,GPIO8引脚也必须配置为DACDAT3。
  
1AIF2_DACDAT
_SRC
0AIF2 DACDAT 来源选择
0 = GPIO5/DACDAT2
1 = GPIO8/DACDAT3
注意,所选来源必须配置为DACDAT2或DACDAT3。
  
0AIF1_DACDAT
_SRC
0AIF1 DACDAT 来源选择
0 = DACDAT1
1 = GPIO8/DACDAT3
注意,对于选择1,GPIO8引脚必须为配置为DACDAT3。
  
R21 (15h)Input Mixer
(1)
输入混合器(1)6INPUTS_CLAMP0输入 pad VMID 钳位
0 =钳位停用
1 = 钳位已激活
  
R24 (18h)Left Line
Input 1&2
Volume
左线输入1&2音量8IN1_VU0输入PGA音量更新,向该位写1将导致IN1L和IN1R输入PGA音量同时更新  
7IN1L_MUTE0IN1L PGA静音
0 = 禁用静音
1 = 启用静音
  
6IN1L_ZC0IN1L PGA零交叉检测器(过零检测)
0 = 立即更改增益
1 = 仅在零交叉时更改增益
  
4:0IN1L_VOL[4:0]0_1011IN1L音量
00000 = -16.5dB
00001 = -15dB
...
11110 = +28.5dB
11111 = +30dB
  
R25 (19h)Left Line
Input 3&4
Volume
左线输入3&4音量8N2_VU0输入PGA音量更新,向该位写入1将导致IN2L和IN2R输入PGA音量同时更新  
7IN2L_MUTE0IN2L PGA静音
0 = 禁用静音
1 = 启用静音
  
6IN2L_ZC0IN2L PGA零交叉检测器(过零检测)
0 = 立即更改增益
1 = 仅在零交叉时更改增益
  
4:0IN2L_VOL[4:0]0_1011IN2L 音量
00000 = -16.5dB
00001 = -15dB
...
11110 = +28.5dB
11111 = +30dB
  
R26 (1Ah)Right Line
Input 1&2
Volume
右线输入1&2音量8IN1_VU0输入PGA音量更新,向该位写1将导致IN1L和IN1R输入PGA音量同时更新  
7IN1R_MUTE1IN1R PGA静音
0 = 禁用静音
1 = 启用静音
  
6IN1R_ZC0IN1R  PGA零交叉检测器(过零检测)
0 = 立即更改增益
1 = 仅在零交叉时更改增益
  
4:0IN1R_VOL[4:0]0_1011IN1R 音量
00000 = -16.5dB
00001 = -15dB
...
11110 = +28.5dB
11111 = +30dB
  
R27 (1Bh)Right Line
Input 3&4
Volume
右线输入3&4音量8IN2_VU0输入PGA音量更新,向该位写入1将导致IN2L和IN2R输入PGA音量同时更新  
7IN2R_MUTE1IN2R PGA Mute
0 = 禁用静音
1 = 启用静音
  
6IN2R_ZC0IN2R PGA零交叉检测器(过零检测)
0 = 立即更改增益
1 = 仅在零交叉时更改增益
  
4:0IN2R_VOL[4:0]0_1011IN2R Volume
00000 = -16.5dB
00001 = -15dB
...
11110 = +28.5dB
11111 = +30dB
  
R28 (1Ch)Left Output
 Volume
左输出音量8HPOUT1_VU0耳机输出PGA音量更新,向该位写入1将同时更新HPOUT1LVOL和HPOUT1RVOL。  
7HPOUT1L_ZC0HPOUT1LVOL(左耳机输出PGA)零交叉启用(过零检测)
0 = 零交叉禁用
1 = 启用零交叉
  
6HPOUT1L_MU
TE_N
1HPOUT1LVOL (Left Headphone Output PGA) Mute
0 = Mute
1 = Un-mute
  
5:0HPOUT1L_VO
L[5:0]
10_1101HPOUT1LVOL(左耳机输出PGA)音量-57dB至6dB(以1dB为步长)
00_0000 = -57dB
00_0001 = -56dB
… (1dB 步长)
11_1111 = +6dB
  
R29 (1Dh)Right Output
Volume
右输出音量8HPOUT1_VU0耳机输出PGA音量更新,向该位写入1将同时更新HPOUT1LVOL和HPOUT1RVOL。  
7HPOUT1R_ZC0HPOUT1RVOL(右耳机输出PGA)过零启用
0 = 零交叉禁用
1 = 启用零交叉
  
6HPOUT1R_MU
TE_N
1HPOUT1RVOL(右耳机输出PGA)静音
0 = 静音
1 =取消静音
  
5:0HPOUT1R_VO
L[5:0]
10_1101HPOUT1RVOL(右耳机输出 PGA)音量
-57dB 至 6dB,以 1dB 步长
00_0000 = -57dB
00_0001 = -56dB
… (1dB 步长)
11_1111 = +6dB
  
R30 (1Eh)Line Outputs
 Volume
线输出音量6LINEOUT1N_M
UTE
1LINEOUT1N 线路输出静音
0 = 取消静音
1 = 静音
  
5LINEOUT1P_M
UTE
1LINEOUT1P 线路输出静音
0 = 取消静音
1 = 静音
  
4LINEOUT1_VO
L
0LINEOUT1 线路输出音量
0 = 0dB
1 = -6dB
适用于 LINEOUT1N 和 LINEOUT1P
  
2LINEOUT2N_M
UTE
1LINEOUT2N 线路输出静音
0 = 取消静音
1 = 静音
  
1LINEOUT2P_M
UTE
1LINEOUT2P 线路输出静音
0 = 取消静音
1 = 静音
  
0LINEOUT2_VO
L
0LINEOUT2 线路输出音量
0 = 0dB
1 = -6dB
适用于 LINEOUT2N 和 LINEOUT2P
  
R31 (1Fh)HPOUT2
Volume
耳机2音量5HPOUT2_MUT
E
1HPOUT2(耳机驱动器)静音
0 = 取消静音
1 = 静音
  
4HPOUT2_VOL0HPOUT2(耳机驱动器)音量
0 = 0dB
1 = -6dB
  
R32 (20h)Left OPGA
Volume
左混合器输出PGA音量8MIXOUT_VU0混合器输出 PGA 音量更新,向此位写入 1 将同时更新 MIXOUTLVOL 和MIXOUTRVOL 音量。  
7MIXOUTL_ZC0MIXOUTLVOL(左混合器输出PGA)零交叉
使
0 = 禁用零交叉
1 = 启用零交叉
  
6MIXOUTL_MU
TE_N
1MIXOUTLVOL(左混合器输出PGA)静音
0 = 静音
1 = 取消静音
  
5:0MIXOUTL_VOL
[5:0]
11_1001MIXOUTLVOL(左混合器输出PGA)音量
-57dB 至 6dB,以 1dB 步长
00_0000 = -57dB
00_0001 = -56dB
… (1dB 步长)
11_1111 = +6dB
  
R33 (21h)Right OPGA
Volume
右混合器输出PGA音量8MIXOUT_VU0混合器输出 PGA 音量更新,向此位写入 1 将同时更新 MIXOUTLVOL 和MIXOUTRVOL 音量。  
7MIXOUTR_ZC0MIXOUTRVOL(右混合器输出PGA)零交叉
使
0 = 禁用零交叉
1 = 启用零交叉
  
6MIXOUTR_MU
TE_N
1MIXOUTLVOL(右混合器输出PGA)静音
0 = 静音
1 = 取消静音
  
5:0MIXOUTR_VO
L[5:0]
11_1001MIXOUTRVOL(右混合器输出PGA)音量
-57dB 至 6dB,以 1dB 步长
00_0000 = -57dB
00_0001 = -56dB
… (1dB 步长)
11_1111 = +6dB
  
R34 (22h)SPKMIXL
 Attenuation
左扬声器衰减6DAC2L_SPKMI
XL_VOL
0左 DAC2 到 SPKMIXL 精细音量控制
0 = 0dB
1 = -3dB
  
5MIXINL_SPKMI
XL_VOL
0MIXINL(左ADC旁路)至SPKMIXL精细音量控制
0 = 0dB
1 = -3dB
  
4IN1LP_SPKMI
XL_VOL
0IN1LP 到 SPKMIXL 精细音量控制
0 = 0dB
1 = -3dB
  
3MIXOUTL_SPK
MIXL_VOL
0左混合器输出到 SPKMIXL 精细音量控制
0 = 0dB
1 = -3dB
  
2DAC1L_SPKMI
XL_VOL
0左 DAC1 到 SPKMIXL 精细音量控制
0 = 0dB
1 = -3dB
  
1:0SPKMIXL_VOL
[1:0]
11左扬声器混音器音量控制
00 = 0dB
01 = -6dB
10 = -12dB
11 = mute
  
R35 (23h)SPKMIXR
Attenuation
右扬声器衰减8SPKOUT_CLA
SSAB
0扬声器类 AB 模式启用
0 = D 类模式
1 = 类 AB 模式
  
6DAC2R_SPKM
IXR_VOL
0右 DAC2 到 SPKMIXR 精细音量控制
0 = 0dB
1 = -3dB
  
5MIXINR_SPKM
IXR_VOL
0MIXINR(右ADC旁路)至SPKMIXR精细音量控制
0 = 0dB
1 = -3dB
  
4IN1RP_SPKMI
XR_VOL
0IN1RP 到 SPKMIXR 精细音量控制
0 = 0dB
1 = -3dB
  
3MIXOUTR_SP
KMIXR_VOL
0右混合器输出到 SPKMIXR 精细音量控制
0 = 0dB
1 = -3dB
  
2DAC1R_SPKM
IXR_VOL
0右 DAC1 到 SPKMIXR 精细音量控制
0 = 0dB
1 = -3dB
  
1:0SPKMIXR_VO
L[1:0]
11右扬声器混音器音量控制
00 = 0dB
01 = -6dB
10 = -12dB
11 = mute
  
R36 (24h)SPKOUT
Mixers
扬声器混音5IN2LP_TO_SP
KOUTL
0差分输入(IN2RP\/IN2LP)至左扬声器静音
0 = 静音
1 = 取消静音
  
4SPKMIXL_TO_
SPKOUTL
1SPKMIXL 左扬声器混音器到左扬声器静音
0 = 静音
1 = 取消静音
  
3SPKMIXR_TO_
SPKOUTL
0SPKMIXR 右扬声器混音器到左扬声器静音
0 = 静音
1 = 取消静音
  
2IN2LP_TO_SP
KOUTR
0差分输入(IN2RP\/IN2LP)至右扬声器静音
0 = 静音
1 = 取消静音
  
1SPKMIXL_TO_
SPKOUTR
0SPKMIXL 左扬声器混音器到右侧扬声器静音
0 = 静音
1 = 取消静音
  
0SPKMIXR_TO_
SPKOUTR
1SPKMIXR 右扬声器混合器到右扬声器静音
0 = 静音
1 = 取消静音
  
R37 (25h)ClassD扬声器增益5:3SPKOUTL_BO
OST[2:0]
0000左扬声器增益提升
000 = 1.00x boost (+0dB)
001 = 1.19x boost (+1.5dB)
010 = 1.41x boost (+3.0dB)
011 = 1.68x boost (+4.5dB)
100 = 2.00x boost (+6.0dB)
101 = 2.37x boost (+7.5dB)
110 = 2.81x boost (+9.0dB)
111 = 3.98x boost (+12.0dB)
  
2:0SPKOUTR_BO
OST[2:0]
0000右扬声器增益提升
000 = 1.00x boost (+0dB)
001 = 1.19x boost (+1.5dB)
010 = 1.41x boost (+3.0dB)
011 = 1.68x boost (+4.5dB)
100 = 2.00x boost (+6.0dB)
101 = 2.37x boost (+7.5dB)
110 = 2.81x boost (+9.0dB)
111 = 3.98x boost (+12.0dB)
  
R38 (26h)Speaker
Volume Left
左扬声器音量8SPKOUT_VU0扬声器输出 PGA 音量更新,向此位写入 1 将同时更新 SPKLVOL 和SPKRVOL 音量。  
7SPKOUTL_ZC0SPKLVOL(左扬声器输出 PGA)零交叉使能
0 = 禁用零交叉
1 = 启用零交叉
  
6SPKOUTL_MU
TE_N
1SPKLVOL(左扬声器输出 PGA)静音
0 = 静音
1 = 取消静音
  
5:0SPKOUTL_VO
L[5:0]
11_1001SPKLVOL(左扬声器输出 PGA)音量
-57dB 至 6dB,以 1dB 步长
00_0000 = -57dB
00_0001 = -56dB
… (1dB 步长)
11_1111 = +6dB
  
R39 (27h)Speaker
Volume
Right
右扬声器音量8SPKOUT_VU0扬声器 PGA 音量更新,向此位写入 1 将同时更新 SPKLVOL 和SPKRVOL 音量。  
7SPKOUTR_ZC0SPKRVOL(右扬声器输出 PGA)零交叉使能
0 = 禁用零交叉
1 = 启用零交叉
  
6SPKOUTR_MU
TE_N
1SPKRVOL(右扬声器输出 PGA)静音
0 = 静音
1 = 取消静音
  
5:0SPKOUTR_VO
L[5:0]
11_1001SPKRVOL(右扬声器输出 PGA)音量
-57dB 至 6dB,以 1dB 步长
00_0000 = -57dB
00_0001 = -56dB
… (1dB 步长)
11_1111 = +6dB
  
R40 (28h)Input Mixer
(2)
输入混音27IN2LP_TO_IN2
L
0IN2L PGA 非反转输入选择
0 = 连接到 VMID
1 = 连接到 IN2LP
  
6IN2LN_TO_IN2
L
0IN2L PGA 反转输入选择
0 = 未连接
1 = 连接到 IN2LN
  
5IN1LP_TO_IN1
L
0IN1L PGA 非反转输入选择
0 = 连接到 VMID
1 = 连接到 IN1LP
  
4IN1LN_TO_IN1
L
0IN1L PGA 反转输入选择
0 = 未连接
1 = 连接到 IN1LN
  
3IN2RP_TO_IN2
R
0IN2R PGA 非反转输入选择
0 = 连接到 VMID
1 = 连接到 IN2RP
  
2IN2RN_TO_IN
2R
0IN2R PGA 反转输入选择
0 = 未连接
1 = 连接到 IN2RN
  
1IN1RP_TO_IN1
R
0IN1R PGA 非反转输入选择
0 = 连接到 VMID
1 = 连接到 IN1RP
  
0IN1RN_TO_IN
1R
0IN1R PGA 反转输入选择
0 = 未连接
1 = 连接到 IN1RN
  
R41 (29h)Input Mixer
(3)
输入混音38IN2L_TO_MIXI
NL
0IN2L PGA 输出至 MIXINL 静音
0 = 静音
1 = 取消静音
  
7IN2L_MIXINL_
VOL
0IN2L PGA 输出至 MIXINL 增益
0 = 0dB
1 = +30dB
  
5IN1L_TO_MIXI
NL
0IN1L PGA 输出至 MIXINL 静音
0 = 静音
1 = 取消静音
  
4IN1L_MIXINL_
VOL
0IN1L PGA 输出至 MIXINL 增益
0 = 0dB
1 = +30dB
  
2:0MIXOUTL_MIXI
NL_VOL[2:0]
000记录路径 MIXOUTL 到 MIXINL 增益和静音
000 = 静音
001 = -12dB
010 = -9dB
011 = -6dB
100 = -3dB
101 = 0dB
110 = +3dB
111 = +6dB
  
R42 (2Ah)Input Mixer
(4)
输入混音48IN2R_TO_MIXI
NR
0IN2R PGA 输出至 MIXINR 静音
0 = 静音
1 = 取消静音
  
7IN2R_MIXINR_
VOL
0IN2R PGA 输出到 MIXINR 增益
0 = 0dB
1 = +30dB
  
5IN1R_TO_MIXI
NR
0IN1R PGA 输出至 MIXINR 静音
0 = 静音
1 = 取消静音
  
4IN1R_MIXINR_
VOL
0IN1R PGA 输出到 MIXINR 增益
0 = 0dB
1 = +30dB
  
2:0MIXOUTR_MIX
INR_VOL[2:0]
000记录路径 MIXOUTR 到 MIXINR 增益和静音
000 = 静音
001 = -12dB
010 = -9dB
011 = -6dB
100 = -3dB
101 = 0dB
110 = +3dB
111 = +6dB
  
R43 (2Bh)Input Mixer
(5)
输入混音58:6IN1LP_MIXINL
_VOL[2:0]
000IN1LP 引脚(PGA 旁路)至 MIXINL 增益和静音
000 = 静音
001 = -12dB
010 = -9dB
011 = -6dB
100 = -3dB
101 = 0dB
110 = +3dB
111 = +6dB
  
2:0IN2LP_MIXINL
_VOL[2:0]
000RXVOICE (VRXN\/VRXP) 差分输入到 MIXINL增益和静音
000 = 静音
001 = -12dB
010 = -9dB
011 = -6dB
100 = -3dB
101 = 0dB
110 = +3dB
111 = +6dB
  
R44 (2Ch)Input Mixer
(6)
输入混音68:6IN1RP_MIXINR
_VOL[2:0]
000IN1RP 引脚(PGA 旁路)至 MIXINR 增益和静音
000 = 静音
001 = -12dB
010 = -9dB
011 = -6dB
100 = -3dB
101 = 0dB
110 = +3dB
111 = +6dB
  
2:0IN2LP_MIXINR
_VOL[2:0]
000RXVOICE (VRXN\/VRXP) 差分输入到 MIXINR增益和静音
000 = 静音
001 = -12dB
010 = -9dB
011 = -6dB
100 = -3dB
101 = 0dB
110 = +3dB
111 = +6dB
  
R45 (2Dh)Output Mixer
(1)
输出混音18DAC1L_TO_H
POUT1L
0HPOUT1LVOL(左耳机输出 PGA)输入选择
0 = MIXOUTL
1 = DAC1L
  
7MIXINR_TO_M
IXOUTL
0MIXINR 输出(右 ADC 旁路)到 MIXOUTL 静音
0 = 静音
1 = 取消静音
  
6MIXINL_TO_MI
XOUTL
0MIXINL 输出(左 ADC 旁路)到 MIXOUTL 静音
0 = 静音
1 = 取消静音
  
5IN2RN_TO_MI
XOUTL
0IN2RN 到 MIXOUTL 静音
0 = 静音
1 = 取消静音
  
4IN2LN_TO_MI
XOUTL
0IN2LN 至 MIXOUTL 静音
0 = 静音
1 = 取消静音
  
3IN1R_TO_MIX
OUTL
0IN1R PGA 输出至 MIXOUTL 静音
0 = 静音
1 = 取消静音
  
2IN1L_TO_MIX
OUTL
0IN1L PGA 输出至 MIXOUTL 静音
0 = 静音
1 = 取消静音
  
1IN2LP_TO_MI
XOUTL
0IN2LP 到 MIXOUTL 静音
0 = 静音
1 = 取消静音
  
0DAC1L_TO_MI
XOUTL
0左 DAC1 到 MIXOUTL 静音
0 = 静音
1 = 取消静音
  
R46 (2Eh)Output Mixer
(2)
输出混音28DAC1R_TO_H
POUT1R
0HPOUT1RVOL(右耳机输出 PGA)输入选择
0 = MIXOUTR
1 = DAC1R
  
7MIXINL_TO_MI
XOUTR
0MIXINL 输出(左 ADC 旁路)到 MIXOUTR 静音
0 = 静音
1 = 取消静音
  
6MIXINR_TO_M
IXOUTR
0MIXINR 输出(右 ADC 旁路)到 MIXOUTR 静音
0 = 静音
1 = 取消静音
  
5IN2LN_TO_MI
XOUTR
0IN2LN 至 MIXOUTR 静音
0 = 静音
1 = 取消静音
  
4IN2RN_TO_MI
XOUTR
0IN2RN 到 MIXOUTR 静音
0 = 静音
1 = 取消静音
  
3IN1L_TO_MIX
OUTR
0IN1L PGA 输出至 MIXOUTR 静音
0 = 静音
1 = 取消静音
  
2IN1R_TO_MIX
OUTR
0IN1R PGA 输出至 MIXOUTR 静音
0 = 静音
1 = 取消静音
  
1IN2RP_TO_MI
XOUTR
0IN2RP 到 MIXOUTR 静音
0 = 静音
1 = 取消静音
  
0DAC1R_TO_MI
XOUTR
0右侧 DAC1 到 MIXOUTR 静音
0 = 静音
1 = 取消静音
  
R47 (2Fh)Output Mixer
(3)
输出混音311:9IN2LP_MIXOU
TL_VOL[2:0]
000IN2LP 到 MIXOUTL 音量
0dB 至 -21dB,以 3dB 步长
000 = 0dB
001 = -3dB
…(3dB 步长)
111 = -21dB
  
8:6IN2LN_MIXOU
TL_VOL[2:0]
000IN2LN 到 MIXOUTL 音量
0dB 至 -21dB,以 3dB 步长
000 = 0dB
001 = -3dB
…(3dB 步长)
111 = -21dB
  
5:3IN1R_MIXOUT
L_VOL[2:0]
000IN1R PGA 输出到 MIXOUTL 音量
0dB 至 -21dB,以 3dB 步长
000 = 0dB
001 = -3dB
…(3dB 步长)
111 = -21dB
  
2:0IN1L_MIXOUT
L_VOL[2:0]
000IN1L PGA 输出到 MIXOUTL 音量
0dB 至 -21dB,以 3dB 步长
000 = 0dB
001 = -3dB
…(3dB 步长)
111 = -21dB
  
R48 (30h)Output Mixer
(4)
输出混音411:9IN2RP_MIXOU
TR_VOL[2:0]
000IN2RP to MIXOUTR Volume
0dB to -21dB in 3dB steps
000 = 0dB
001 = -3dB
…(3dB steps)
111 = -21dB
  
8:6IN2RN_MIXOU
TR_VOL[2:0]
000IN2RN to MIXOUTR Volume
0dB to -21dB in 3dB steps
000 = 0dB
001 = -3dB
…(3dB steps)
111 = -21dB
  
5:3IN1L_MIXOUT
R_VOL[2:0]
000IN1L PGA 输出到 MIXOUTR 音量
0dB 至 -21dB,以 3dB 步长
000 = 0dB
001 = -3dB
…(3dB 步长)
111 = -21dB
  
2:0IN1R_MIXOUT
R_VOL[2:0]
000IN1R PGA 输出到 MIXOUTR 音量
0dB 至 -21dB,以 3dB 步长
000 = 0dB
001 = -3dB
…(3dB 步长)
111 = -21dB
  
R49 (31h)Output Mixer
(5)
输出混音511:9DACL_MIXOU
TL_VOL[2:0]
000左 DAC1 到 MIXOUTL 音量
0dB 至 -21dB,以 3dB 步长
000 = 0dB
001 = -3dB
…(3dB 步长)
111 = -21dB
  
8:6IN2RN_MIXOU
TL_VOL[2:0]
000IN2RN 到 MIXOUTL 音量
0dB 至 -21dB,以 3dB 步长
000 = 0dB
001 = -3dB
…(3dB 步长)
111 = -21dB
  
5:3MIXINR_MIXO
UTL_VOL[2:0]
000MIXINR 输出(右 ADC 旁路)到 MIXOUTL 音量
0dB 至 -21dB,以 3dB 步长
000 = 0dB
001 = -3dB
…(3dB 步长)
111 = -21dB
  
2:0MIXINL_MIXO
UTL_VOL[2:0]
000MIXINL 输出(左 ADC 旁路)到 MIXOUTL 音量
0dB 至 -21dB,以 3dB 步长
000 = 0dB
001 = -3dB
…(3dB 步长)
111 = -21dB
  
R50 (32h)Output Mixer
(6)
输出混音611:9DACR_MIXOU
TR_VOL[2:0]
000右侧 DAC1 到 MIXOUTR 音量
0dB 至 -21dB,以 3dB 步长
000 = 0dB
001 = -3dB
…(3dB 步长)
111 = -21dB
  
8:6IN2LN_MIXOU
TR_VOL[2:0]
000IN2LN 到 MIXOUTR 音量
0dB 至 -21dB,以 3dB 步长
000 = 0dB
001 = -3dB
…(3dB steps)
111 = -21dB
  
5:3MIXINL_MIXO
UTR_VOL[2:0]
000MIXINL 输出(左 ADC 旁路)到 MIXOUTR 音量
0dB 至 -21dB,以 3dB 步长
000 = 0dB
001 = -3dB
…(3dB 步长)
111 = -21dB
  
2:0MIXINR_MIXO
UTR_VOL[2:0]
000MIXINR 输出(右 ADC 旁路)到 MIXOUTR音量
0dB 至 -21dB,以 3dB 步长
000 = 0dB
001 = -3dB
…(3dB 步长)
111 = -21dB
  
R51 (33h)HPOUT2
Mixer
耳机混音5IN2LRP_TO_H
POUT2
0差分输入(IN2RP\/IN2LP)到耳机驱动器
0 = 静音
1 = 取消静音
  
4MIXOUTLVOL_
TO_HPOUT2
0MIXOUTLVOL(左侧输出混合器 PGA)到耳机设备
0 = 静音
1 = 取消静音
  
3MIXOUTRVOL
_TO_HPOUT2
0MIXOUTRVOL(右输出混合器PGA)到耳机设备
0 = 静音
1 = 取消静音
  
R52 (34h)Line Mixer
(1)
线混音16MIXOUTL_TO_
LINEOUT1N
0在 LINEOUT1N 上到单端线路输出
0 = 静音
1 = 取消静音
(LINEOUT1_MODE = 1)
  
5MIXOUTR_TO
_LINEOUT1N
0MIXOUTR 到单端线路输出到LINEOUT1N
0 = 静音
1 = 取消静音
(LINEOUT1_MODE = 1)
  
4LINEOUT1_M
ODE
0LINEOUT1 模式选择
0 = 差分
1 = 单端
  
2IN1R_TO_LINE
OUT1P
0IN1R 输入 PGA 到差分线输出到LINEOUT1
0 = 静音
1 = 取消静音
(LINEOUT1_MODE = 0)
  
1IN1L_TO_LINE
OUT1P
0IN1L 输入 PGA 到差分线输出到LINEOUT1
0 = 静音
1 = 取消静音
(LINEOUT1_MODE = 0)
  
0MIXOUTL_TO_
LINEOUT1P
0差分模式 (LINEOUT1_MODE = 0):
LINEOUT1到差分输出的 MIXOUTL
0 = 静音
1 = 取消静音
单端模式(LINEOUT1_MODE = 1):
在 LINEOUT1P 上到单端线路输出
0 = 静音
1 = 取消静音
  
R53 (35h)Line Mixer
(2)
线混音26MIXOUTR_TO
_LINEOUT2N
0MIXOUTR 到单端线路输出到LINEOUT2N
0 = 静音
1 = 取消静音
(LINEOUT2_MODE = 1)
  
5MIXOUTL_TO_
LINEOUT2N
0在 LINEOUT2N 上到单端线路输出
0 = 静音
1 = 取消静音
(LINEOUT2_MODE = 1)
  
4LINEOUT2_M
ODE
0LINEOUT2 模式选择
0 = 差分
1 = 单端
  
2IN1L_TO_LINE
OUT2P
0IN1L 输入 PGA 到差分线输出到LINEOUT2
0 = 静音
1 = 取消静音
(LINEOUT2_MODE = 0)
  
1IN1R_TO_LINE
OUT2P
0IN1R 输入 PGA 到差分线输出到INEOUT2
0 = 静音
1 = 取消静音
(LINEOUT2_MODE = 0)
  
0MIXOUTR_TO
_LINEOUT2P
0差分模式 (LINEOUT2_MODE = 0):
在 LINEOUT2 上到差分输出的 MIXOUTR
0 = 静音
1 = 取消静音
单端模式 (LINEOUT2_MODE = 0):
在 LINEOUT2P 上到单端线路输出
0 = 静音
1 = 取消静音
  
R54 (36h)Speaker
Mixer
扬声器混音9DAC2L_TO_S
PKMIXL
0左 DAC2 到 SPKMIXL 静音
0 = 静音
1 = 取消静音
  
8DAC2R_TO_S
PKMIXR
0右侧 DAC2 到 SPKMIXR 静音
0 = 静音
1 = 取消静音
  
7MIXINL_TO_S
PKMIXL
0MIXINL(左ADC旁路)至SPKMIXL静音
0 = 静音
1 = 取消静音
  
6MIXINR_TO_S
PKMIXR
0MIXINR(右ADC旁路)至SPKMIXR静音
0 = 静音
1 = 取消静音
  
5IN1LP_TO_SP
KMIXL
0IN1LP 到 SPKMIXL 静音
0 = 静音
1 = 取消静音
  
4IN1RP_TO_SP
KMIXR
0IN1RP 到 SPKMIXR 静音
0 = 静音
1 = 取消静音
  
3MIXOUTL_TO_
SPKMIXL
0左侧混音器输出到 SPKMIXL 静音
0 = 静音
1 = 取消静音
  
2MIXOUTR_TO
_SPKMIXR
0右混合器输出到 SPKMIXR 静音
0 = 静音
1 = 取消静音
  
1DAC1L_TO_S
PKMIXL
0左 DAC1 到 SPKMIXL 静音
0 = 静音
1 = 取消静音
  
0DAC1R_TO_S
PKMIXR
0右DAC1至SPKMIXR静音
0 =静音
1 =取消静音
  
R55 (37h)Additional Control附加控制7LINEOUT1_FB0在LINEOUT1上启用接地环路噪声反馈
0 =禁用
1 =启用
  
6LINEOUT2_FB0在LINEOUT2上启用接地环路噪声反馈
0 =禁用
1 =启用
  
0VROI0缓冲 VMID 到模拟线路输出电阻
(禁用输出)
0 = 20kohm 从缓冲 VMID 到输出
1 = 500ohm 从缓冲 VMID 到输出
  
R56 (38h)AntiPOP (1) 7LINEOUT_VMI
D_BUF_ENA
0启用单线输出的 VMID 参考模式
0 = 已禁用
1 = 已启用
  
6HPOUT2_IN_E
NA
0HPOUT2MIX 混合器和输入级启用
0 = 已禁用
1 = 已启用
  
5LINEOUT1_DI
SCH
0LINEOUT1P 和 LINEOUT1N 输出通过约 4k 电阻放电
0 = 未激活
1 = 主动放电LINEOUT1P和LINEOUT1N 
  
4LINEOUT2_DI
SCH
0LINEOUT2P和LINEOUT2N输出通过约4k电阻放电
0 =无效
1 =主动放电LINEOUT2P和LINEOUT2N
  
R57 (39h)AntiPOP (2) 6:5VMID_RAMP[1
:0]
00VMID软启动启用/转换速率控制
00 =正常缓慢启动
01 =正常快速启动
10 =缓慢启动
11 =软快速启动
  
3VMID_BUF_EN
A
0VMID缓冲区启用
0 =禁用
1 =启用
  
2STARTUP_BIA
S_ENA
0使能启动偏置电流发生器
0 =禁用
1 =启用
  
1BIAS_SRC0选择偏置电流源
0 =正偏
1 =启动偏差
  
0VMID_DISCH0将VMID接地
0 =禁用
1 =启用
  
R58 (3Ah)MICBIAS麦克风偏置7:6MICD_SCTHR[
1:0]
00MICBIAS短路电流阈值
00 = 300uA
01 = 600uA
10 = 1200uA
11 = 2400uA
这些值适用于AVDD1 = 3.0V和刻度与AVDD1成比例。
  
5:3MICD_THR[2:0
]
000MICBIAS电流检测阈值
00 = 150uA
01 = 300uA
10 = 600uA
11 = 1200uA
这些值适用于AVDD1 = 3.0V和刻度与AVDD1成比例。
  
2MICD_ENA0MICBIAS电流检测/短路阈值使能
0 =禁用
1 =启用
  
1MICB2_LVL0麦克风偏置2电压控制
0 = 0.9 * AVDD1
1 = 0.65 * AVDD1
  
0MICB1_LVL0麦克风偏置1电压控制
0 = 0.9 * AVDD1
1 = 0.65 * AVDD1
  
R59 (3Bh)LDO 1LDO1设置3:1LDO1_VSEL[2:
0]
110LDO1 输出电压选择
2.4V 至 3.1V(100mV 步长)
000 = 2.4V
001 = 2.5V
010 = 2.6V
011 = 2.7V
100 = 2.8V
101 = 2.9V
110 = 3.0V
111 = 3.1V
  
0LDO1_DISCH1LDO1 放电选择
0 = 禁用时 LDO1浮空
1 = 禁用时LDO1放电
  
R60 (3Ch)LDO 2LDO2设置2:1LDO2_VSEL[1:
0]
01LDO2 输出电压选择
0.9V 至 1.2V,100mV 步长
00 = 0.9V
01 = 1.0V
10 = 1.1V
11 = 1.2V
  
0LDO2_DISCH1LDO2 放电选择
0 = 禁用时 LDO2 浮空
1 = 禁用时 LDO2 放电
  
R76 (4Ch)Charge
Pump (1)
 15CP_ENA0启用数字充电泵
0 = 禁用
1 = 启用
  
R81 (51h)Class W (1) 9:8CP_DYN_SRC
_SEL[1:0]
00选择用于包络线跟踪的数字音频源
00 = AIF1,DAC 时隙 0
01 = AIF1,DAC 时隙 1
10 = AIF2,DAC 数据
11 = 保留
  
0CP_DYN_PWR0启用动态电荷泵功率控制
0 = 由音量寄存器设置控制的充电泵
1 = 由实时音频电平控制的充电泵
  
R84 (54h)DC Servo
(1)
直流伺服113DCS_TRIG_SI
NGLE_1
0将 1 写入此位将选择单个直流偏移校正适用于 HPOUT1L。
在回读中,值为 1 表示直流伺服单次更正正在进行中。
  
12DCS_TRIG_SI
NGLE_0
0将 1 写入此位将选择单个直流偏移校正用于 HPOUT1R。
在回读中,值为 1 表示直流伺服单次更正正在进行中。
  
9DCS_TRIG_SE
RIES_1
0将 1 写入此位将选择一系列直流偏移HPOUT1L 的修正。
在回读中,值为 1 表示直流伺服DAC 写入更正正在进行中。
  
8DCS_TRIG_SE
RIES_0
0将 1 写入此位将选择一系列直流偏移HPOUT1R 的修正。
在回读中,值为 1 表示直流伺服DAC 写入更正正在进行中。
  
5DCS_TRIG_ST
ARTUP_1
0写入此位将选择启动 DC 伺服模式HPOUT1L.
在回读中,值为 1 表示直流伺服启动更正正在进行中。
  
4DCS_TRIG_ST
ARTUP_0
0写入此位将选择启动 DC 伺服模式HPOUT1R.
在回读中,值为 1 表示直流伺服启动更正正在进行中。
  
3DCS_TRIG_DA
C_WR_1
0写入 1 到此位选择 DAC 写入直流伺服模式适用于 HPOUT1L。
在回读中,值为 1 表示直流伺服DAC 写入更正正在进行中。
  
2DCS_TRIG_DA
C_WR_0
0写入 1 到此位选择 DAC 写入直流伺服模式用于 HPOUT1R。
在回读中,值为 1 表示直流伺服DAC 写入更正正在进行中。
  
1DCS_ENA_CH
AN_1
0适用于 HPOUT1L 的直流伺服功能
0 = 已禁用
1 = 已启用
  
0DCS_ENA_CH
AN_0
0适用于 HPOUT1R 的直流伺服功能
0 = 已禁用
1 = 已启用
  
R85 (55h)DC Servo
(2)
直流伺服211:5DCS_SERIES_
NO_01[6:0]
010_1010要在系列中执行的 DC 伺服更新数事件。
0 = 1 个更新
1 = 2 个更新
...
127 = 128 个更新
  
3:0DCS_TIMER_P
ERIOD_01[3:0]
1010定期更新时间间隔。时间计算为0.251s x (2^PERIOD)
0000 = 关闭
0001 = 0.502s
1010 = 257s (4min 17s)
1111 = 8224s (2hr 17ms)
  
R87 (57h)DC Servo
(4)
直流伺服415:8DCS_DAC_W
R_VAL_1[7:0]
0000_0000DAC 写入直流伺服中 HPOUT1L 的直流偏移值模式。
两个的补充格式。
LSB 为 0.25mV。
范围为 ±32mV
  
7:0DCS_DAC_W
R_VAL_0[7:0]
0000_0000DAC 写入直流伺服中 HPOUT1R 的直流偏移值模式。
两个的补充格式。
LSB 为 0.25mV。
范围为 ±32mV
  
R88 (58h)DC Servo
Readback
直流伺服状态9:8DCS_CAL_CO
MPLETE[1:0]
00直流伺服完成状态
00 = DAC 写入或启动直流伺服模式未完成。
01 = DAC 写入或启动直流伺服模式完成,仅在 HPOUT1R 上。
10 = DAC 写入或启动直流伺服模式完成,仅在 HPOUT1L 上。
11 = DAC 写入或启动直流伺服模式完成,在 HPOUT1L 和 HPOUT1R 上。
  
5:4DCS_DAC_W
R_COMPLETE
[1:0]
00直流伺服 DAC 写入状态
00 = DAC 写入直流伺服模式未完成。
01 = DAC 写入直流伺服模式完成,仅限 HPOUT1R。
10 = DAC 写入直流伺服模式完成,仅限 HPOUT1L。
11 = DAC 写入直流伺服模式完成,HPOUT1L 和 HPOUT1R。
  
1:0DCS_STARTU
P_COMPLETE[
1:0]
00直流伺服启动状态
00 = 启动直流伺服模式未完成。
01 = 只在 HPOUT1R 上完成启动直流伺服模式。
10 = 只在 HPOUT1L 上完成启动直流伺服模式。
11 = 在 HPOUT1L 和 HPOUT1R上完成启动直流伺服模式。
  
R91 (5Bh)DC Servo
ANA (1)
直流伺服数据状态17:0DCS_IDAC_VA
L_1[7:0]
0000_0000HPOUT1L 的回读值。
两个的补充格式。
LSB 为 0.25mV。
范围为 ±32mV
  
R92 (5Ch)DC Servo
ANA (2)
直流伺服数据状态27:0DCS_IDAC_VA
L_0[7:0]
0000_0000HPOUT1R 的回读值。
两个的补充格式。
LSB 为 0.25mV。
范围为 ±32mV
  
R96 (60h)Analogue
HP (1)
模拟耳机设置7HPOUT1L_RM
V_SHORT
0移除 HPOUT1L 短路
0 = HPOUT1L 短路启用
1 = HPOUT1L 短路移除
注意:在输出阶段结束后删除短路启用。
  
6HPOUT1L_OU
TP
0HPOUT1L 输出级启用
0 = 已禁用
1 = 已启用
注意:在偏移校正完成后设置
  
5HPOUT1L_DL
Y
0启用 HPOUT1L 中间级
0 = 已禁用
1 = 已启用
注意:设置至少 20us 延迟以启用HPOUT1L_ENA
  
3HPOUT1R_RM
V_SHORT
0移除 HPOUT1R 短路
0 = HPOUT1R 短路启用
1 = HPOUT1R 短路移除
注意:在输出阶段结束后删除短路启用。
  
2HPOUT1R_OU
TP
0启用 HPOUT1R 输出级
0 = 已禁用
1 = 已启用
注意:在偏移校正完成后设置
  
1HPOUT1R_DL
Y
0启用 HPOUT1R 中间阶段
0 = 已禁用
1 = 已启用
注意:设置至少 20us 延迟以启用HPOUT1R_ENA
  
R257
(0101h)
Control
 Interface
控制接口15REG_SYNC1控制内部写入控制是否同步到SYS_CLK,从而避免多位寄存器的交叉时钟域问题,例如音量控制。通常这是由SYS_CLK_ENA的状态自动处理,位这允许同步器可以手动禁用。
0: 同步器始终禁用
1: SYS_CLK_ENA=1 时启用同步器
  
6SPI_CONTRD0SPI 3/4 线启用连续读取模式
0: Disabled
1: Enabled
  
5SPI_4WIRE0SPI 控制模式选择
0 = 3线,使用双向的 SDA
1 = 4 线,使用 SDOUT
  
4SPI_CFG0SDA/SDOUT 引脚配置
0 = CMOS
1 = 开路 (SPI_4WIRE = 0)
1 = 线"或"(SPI_4WIRE = 1)
  
2AUTO_INC1启用地址自动增量(仅适用于双线 I2C 模式)
0 = Disabled
1 = Enabled
  
R272
(0110h)
Write
Sequencer
Ctrl (1)
写序列器控制115WSEQ_ENA0写入序列器启用。
0 = Disabled
1 = Enabled
  
9WSEQ_ABOR
T
0向此位写入 1 会中止当前序列,并且将设备控制返回到串行控制接口。  
8WSEQ_START0将 1 写入此位将启动WSEQ_START_INDEX选择的索引位置继续序列,直到它达到"结束",序列标志在序列的末尾,由写入序列器重置。  
6:0WSEQ_START
_INDEX[6:0]
000_0000序列开始索引。此字段确定所选命令中第一个命令的内存位置序列。有 127 写入序列器 RAM地址
00h = WSEQ_ADDR0 (R12288)
01h = WSEQ_ADDR1 (R12292)
02h = WSEQ_ADDR2 (R12296)
….
7Fh = WSEQ_ADDR127 (R12796)
  
R273
(0111h)
Write
Sequencer
Ctrl (2)
写序列器控制28WSEQ_BUSY0序列器忙标志(只读)。
0 = 序列器空闲
1 = 序列器忙
注意:忙时无法控制序列器接口。
  
6:0WSEQ_CURR
ENT_INDEX[6:
0]
000_0000序列索引。这表示内存最近访问的命令的位置。编码与WSEQ_START_INDEX相同。  
R512
(0200h)
AIF1
Clocking (1)
AIF1时钟设14:3AIF1CLK_SRC[
1:0]
00AIF1CLK 源选择
00 = MCLK1
01 = MCLK2
10 = FLL1
11 = FLL2
  
2AIF1CLK_INV0AIF1CLK 反转
0 = AIF1CLK 未反转
1 = AIF1CLK 反转
  
1AIF1CLK_DIV0AIF1CLK 分频器
0 = AIF1CLK
1 = AIF1CLK / 2
  
0AIF1CLK_ENA0AIF1CLK 启用
0 = Disabled
1 = Enabled
  
R513
(0201h)
AIF1
Clocking (2)
AIF1时钟设25:3AIF1DAC_DIV[
2:0]
000选择相对于AIF1CLK的AIF1输入路径采样率。
000 = AIF1CLK
001 = AIF1CLK / 1.5
010 = AIF1CLK / 2
011 = AIF1CLK / 3
100 = AIF1CLK / 4
101 = AIF1CLK / 5.5
110 = AIF1CLK / 6
111 = 保留
请注意, 如果AIF1ADC_DIV > 000,AIF1DAC_DIV必须设置为 000.
  
2:0AIF1ADC_DIV[
2:0]
000选择 对于AIF1CLK的AIF1 输出路径采样率
000 = AIF1CLK
001 = AIF1CLK / 1.5
010 = AIF1CLK / 2
011 = AIF1CLK / 3
100 = AIF1CLK / 4
101 = AIF1CLK / 5.5
110 = AIF1CLK / 6
111 = 保留
Note that AIF1ADC_DIV must be set to 000 if
AIF1DAC_DIV > 000.
  
R516
(0204h)
AIF2
Clocking (1)
AIF2时钟设14:3AIF2CLK_SRC[
1:0]
 AIF2CLK 源选择
00 = MCLK1
01 = MCLK2
10 = FLL1
11 = FLL2
  
2AIF2CLK_INV AIF2CLK 反转
0 = AIF2CLK 未反转
1 = AIF2CLK 反转
  
1AIF2CLK_DIV AIF2CLK 分频器
0 = AIF2CLK
1 = AIF2CLK / 2
  
0AIF2CLK_ENA AIF2CLK 启用
0 = Disabled
1 = Enabled
  
R517
(0205h)
AIF2
Clocking (2)
AIF2时钟设25:3AIF2DAC_DIV[
2:0]
000选择相对于AIF2CLK的 AIF2 输入路径采样率.
000 = AIF2CLK
001 = AIF2CLK / 1.5
010 = AIF2CLK / 2
011 = AIF2CLK / 3
100 = AIF2CLK / 4
101 = AIF2CLK / 5.5
110 = AIF2CLK / 6
111 = 保留
Note that AIF2DAC_DIV must be set to 000 if AIF2ADC_DIV > 000.
  
2:0AIF2ADC_DIV[
2:0]
000选择相对于AIF2CLK的 AIF2 输出路径采样率.
000 = AIF2CLK
001 = AIF2CLK / 1.5
010 = AIF2CLK / 2
011 = AIF2CLK / 3
100 = AIF2CLK / 4
101 = AIF2CLK / 5.5
110 = AIF2CLK / 6
111 = 保留
Note that AIF2ADC_DIV must be set to 000 if AIF2DAC_DIV > 000.
  
R520
(0208h)
Clocking (1)时钟设置14TOCLK_ENA0慢速时钟 (TOCLK) 启用
0 = Disabled
1 = Enabled
此时钟是零交叉超时所必需的。
  
3DSP_FS1CLK_
ENA
0AIF1 处理时钟启用
0 = Disabled
1 = Enabled
  
2DSP_FS2CLK_
ENA
0AIF2 处理时钟启用
0 = Disabled
1 = Enabled
  
1DSP_FSINTCL
K_ENA
0数字混合处理器时钟启用
0 = Disabled
1 = Enabled
  
0SYSCLK_SRC0SYSCLK 源选择
0 = AIF1CLK
1 = AIF2CLK
  
R521
(0209h)
Clocking (2)时钟设置210:8TOCLK_DIV[2:
0]
000慢速时钟 ( TOCLK ) 分频器(设置 TOCLK 速率相对于 256kHz)。
000 = 除以 256 (1kHz)
001 = 除以 512 (500Hz)
010 = 除以 1024 (250Hz)
011 = 除以 2048 (125Hz)
100 = 除以 4096 (62.5Hz)
101 = 除以 8192 (31.2Hz)
110 = 除以 16384 (15.6Hz)
111 = 除以 32768 (7.8Hz)
  
6:4DBCLK_DIV[2:
0]
000去反弹时钟 (DBCLK) 分频器(设置 DBCLK 速率相对于 256kHz)
000 = 除以 256 (1kHz)
001 = 除以 2048 (125Hz)
010 = 除以 4096 (62.5Hz)
011 = 除以 8192 (31.2Hz)
100 = 除以 16384 (15.6Hz)
101 = 除以 32768 (7.8Hz)
110 = 除以 65536 (3.9Hz)
111 = 除以 131072 (1.95Hz)
  
2:0OPCLK_DIV[2:
0]
000GPIO 输出时钟 (OPCLK) 分频器
000 = SYSCLK
001 = SYSCLK / 2
010 = SYSCLK / 3
011 = SYSCLK / 4
100 = SYSCLK / 6
101 = SYSCLK / 8
110 = SYSCLK / 12
111 = SYSCLK / 16
  
R528
(0210h)
AIF1 RateAIF1时钟频率设置7:4AIF1_SR[3:0]1000选择 AIF1 采样率 (fs)
0000 = 8kHz
0001 = 11.025kHz
0010 = 12kHz
0011 = 16kHz
0100 = 22.05kHz
0101 = 24kHz
0110 = 32kHz
0111 = 44.1kHz
1000 = 48kHz
1001 = 88.2kHz
1010 = 96kHz
所有其他代码 = 保留
请注意,88.2kHz 和 96kHz 模式仅支持 AIF1 输入(DAC 播放)。
  
3:0AIF1CLK_RAT
E[3:0]
0011选择 AIF1CLK / fs 比率
0000 = 64
0001 = 128
0010 = 192
0011 = 256
0100 = 384
0101 = 512
0110 = 768
0111 = 1024
1000 = 1408
1001 = 1536
所有其他代码 = 保留
对于立体声 DAC(AIF1 输入)模式,速率必须为128 x fs 或更高。
对于ADC(AIF1输出)模式,速率必须为256 xfs 或更高。
  
R529
(0211h)
AIF2 RateAIF2时钟频率设置7:4AIF2_SR[3:0]1000选择 AIF2 采样率 (fs)
0000 = 8kHz
0001 = 11.025kHz
0010 = 12kHz
0011 = 16kHz
0100 = 22.05kHz
0101 = 24kHz
0110 = 32kHz
0111 = 44.1kHz
1000 = 48kHz
1001 = 88.2kHz
1010 = 96kHz
所有其他代码 = 保留
请注意,88.2kHz 和 96kHz 模式仅支持AIF2 输入(DAC 播放)。
  
3:0AIF2CLK_RAT
E[3:0]
0011选择 AIF2CLK / fs 比率
0000 = 64
0001 = 128
0010 = 192
0011 = 256
0100 = 384
0101 = 512
0110 = 768
0111 = 1024
1000 = 1408
1001 = 1536
所有其他代码 = 保留
对于立体声 DAC(AIF2 输入)模式,速率必须为128 x fs 或更高。
对于ADC(AIF2输出)模式,速率必须为256 xfs 或更高。
  
R530
(0212h)
Rate Status时钟频率状态3:0SR_ERROR[3:
0]
0000采样率配置状态
指示与寄存器采样率配置相关的错误
0000 = 无错误
0001 = 无效采样率
0010 = 无效 AIF 除法
0011 = ADC 和 DAC 在接口中划分两个集
0100 = AIF 除法和采样率的无效组合
0101 = 96kHz 模式下的无效启用集
0110 = 无效 SYSCLK 速率(派生自AIF1CLK_RATE或AIF2CLK_RATE)
0111 = SYSCLK AIF 中的混合 ADC 和 DAC 速率,当 AIF 是异步时
1000 = 当两者同时发生时,AIF 来自同一时钟源采样率的无效组合
1001 = 混合 ADC\/DAC AIF 的无效组合,当两者都来自同一时钟源时
1010 = AIF1DAC2(时隙 1)端口启用时,连接到 AIF1 的 SR
  
R544
(0220h)
FLL1
 Control (1)
PLL1控制12FLL1_FRACN_
ENA
0FLL1 分数启用
0 = 整数模式
1 = 分数模式
分数模式 (FLL1_FRACN_ENA=1 ,建议在所有情况下)
  
1FLL1_OSC_EN
A
0FLL1 振荡器启用
0 = 已禁用
1 = 已启用
(请注意,此字段是自由运行 FLL1模式 所必需的)
  
0FLL1_ENA0FLL1 启用
0 = Disabled
1 = Enabled
  
R545
(0221h)
FLL1
Control (2)
PLL1控制213:8FLL1_OUTDIV[
5:0]
00_0000FLL1 FOUT 时钟分频器
000000 = 保留
000001 = 保留
000010 = 保留
000011 = 4
000100 = 5
000101 = 6

111110 = 63
111111 = 64
(FOUT = FVCO / FLL1_OUTDIV)
  
6:4FLL1_CTRL_R
ATE[2:0]
000FLL1 控制模块的频率
000 = FVCO / 1 (推荐值)
001 = FVCO / 2
010 = FVCO / 3
011 = FVCO / 4
100 = FVCO / 5
101 = FVCO / 6
110 = FVCO / 7
111 = FVCO / 8
建议不要更改这些默认值。
  
2:0FLL1_FRATIO[
2:0]
000FLL1 FVCO 时钟分频器
000 = 1
001 = 2
010 = 4
011 = 8
1XX = 16
000 推荐用于高 FREF
011 推荐用于低 FREF
  
R546
(0222h)
FLL1
Control (3)
PLL1控制315:0FLL1_K[15:0]0000_0000
_0000_000
0
FRF 的 FLL1 分数乘法(MSB = 0.5)  
R547
(0223h)
FLL1
Control (4)
PLL1控制414:5FLL1_N[9:0]00_0000_0
000
FLL1 FRF 的整数乘法(LSB = 1)  
3:0FLL1_GAIN[3:0
]
0000FLL1 应用于错误的增益
0000 = x 1 (推荐值)
0001 = x 2
0010 = x 4
0011 = x 8
0100 = x 16
0101 = x 32
0110 = x 64
0111 = x 128
1000 = x 256
建议不要更改这些默认值。
  
R548
(0224h)
FLL1
Control (5)
PLL1控制512:7FLL1_FRC_NC
O_VAL[5:0]
01_1001FLL1 强制振荡器值
有效范围为 000000 到 111111 0x19h (011001) = 约 12MHz(请注意,此字段是自由运行的 FLL 所必需的)
  
6FLL1_FRC_NC
O
0FLL1 强制控制选择
0 = 正常
1 = FLL1 振荡器,由FLL1_FRC_NCO_VAL控制
(请注意,此字段是自由运行的 FLL 所必需的)
  
4:3FLL1_CLK_RE
F_DIV[1:0]
00FLL1 时钟参考分频器
00 = MCLK / 1
01 = MCLK / 2
10 = MCLK / 4
11 = MCLK / 8
MCLK(或其他输入参考)必须<=13.5MHz。
对于低功耗操作,参考时钟可以进一步向下划分。
  
1:0FLL1_CLK_RE
F_SRC[1:0]
00FLL1 时钟源
00 = MCLK1
01 = MCLK2
10 = LRCLK1
11 = BCLK1
  
R576
(0240h)
FLL2 
Control (1)
PLL2控制12FLL2_FRACN_
ENA
0FLL2 分数启用
0 = 整数模式
1 = 分数模式
分数模式 (建议在所有情况下FLL2_FRACN_ENA=1)
  
1FLL2_OSC_EN
A
0FLL2 振荡器启用
0 = 已禁用
1 = 已启用
(请注意,此字段是自由运行的 FLL2 所必需的)
  
0FLL2_ENA0FLL2 启用
0 = Disabled
1 = Enabled
  
R577
(0241h)
FLL2
Control (2)
PLL2控制213:8FLL2_OUTDIV[
5:0]
00_0000FLL2 FOUT 时钟分频器
000000 = 保留
000001 = 保留
000010 = 保留
000011 = 4
000100 = 5
000101 = 6

111110 = 63
111111 = 64
(FOUT = FVCO / FLL2_OUTDIV)
  
6:4FLL2_CTRL_R
ATE[2:0]
000FLL2 控制模块的频率
000 = FVCO / 1 (推荐值)
001 = FVCO / 2
010 = FVCO / 3
011 = FVCO / 4
100 = FVCO / 5
101 = FVCO / 6
110 = FVCO / 7
111 = FVCO / 8
建议不要更改这些默认值。
  
2:0FLL2_FRATIO[
2:0]
000FLL2 FVCO 时钟分频器
000 = 1
001 = 2
010 = 4
011 = 8
1XX = 16
000 推荐用于高 FREF
011 推荐用于低 FREF
  
R578
(0242h)
FLL2
Control (3)
PLL2控制315:0FLL2_K[15:0]0000_0000
_0000_000
0
FRF 的 FLL2 分数乘法(MSB = 0.5)  
R579
(0243h)
FLL2
Control (4)
PLL2控制414:5FLL2_N[9:0]00_0000_0
000
FLL2 整数乘以 FRF(LSB = 1)  
3:0FLL2_GAIN[3:0
]
0000FLL2 应用于错误增益
0000 = x 1 (推荐值)
0001 = x 2
0010 = x 4
0011 = x 8
0100 = x 16
0101 = x 32
0110 = x 64
0111 = x 128
1000 = x 256
建议不要更改这些默认值。
  
R580
(0244h)
FLL2
Control (5)
PLL2控制512:7FLL2_FRC_NC
O_VAL[5:0]
01_1001FLL2 强制振荡器值
有效范围为 000000 到 111111 0x19h (011001) = 约 12MHz
(请注意,此字段是自由运行的 FLL 所必需的)
  
6FLL2_FRC_NC
O
0FLL2 强制控制选择
0 = 正常
1 = FLL2 振荡器,由FLL2_FRC_NCO_VAL控制
(请注意,此字段是自由运行的 FLL 所必需的)
  
4:3FLL2_CLK_RE
F_DIV[1:0]
00FLL2 时钟参考分频器
00 = MCLK / 1
01 = MCLK / 2
10 = MCLK / 4
11 = MCLK / 8
MCLK(或其他输入参考)必须向下≤13.5MHz。
对于低功耗操作,参考时钟可以进一步向下划分。
  
1:0FLL2_CLK_RE
F_SRC[1:0]
00FLL2 时钟源
00 = MCLK1
01 = MCLK2
10 = LRCLK2
11 = BCLK2
  
R768
(0300h)
AIF1 Control
(1)
AIF1控制115AIF1ADCL_SR
C
0AIF1 左侧数字音频接口源
0 = 左侧ADC数据输出在左通道上
1 = 右ADC数据输出在左通道上
  
14AIF1ADCR_SR
C
1AIF1 右侧数字音频接口源
0 = 右通道输出左ADC数据
1 = 右通道输出右ADC数据
  
13AIF1ADC_TDM0AIF1 传输 (ADC) TDM 启用
0 = 正常 ADCDAT1 操作
1 = ADCDAT1 上启用 TDM
  
8AIF1_BCLK_IN
V
0BCLK1 反转
0 = BCLK1 未反转
1 = BCLK1 倒置
  
7AIF1_LRCLK_I
NV
0右侧、左侧和 I2S 模式 = LRCLK1 极性
0 = 正常 LRCLK1 极性
1 = 反转 LRCLK1 极性
DSP 模式 = 模式 A/B 选择
0 = MSB 可在 BCLK1 上升沿后的第2个LRCLK1 上升沿(模式 A)
1 = MSB 可在 BCLK1 上升沿后的第1个LRCLK1 上升沿(模式 B)
  
6:5AIF1_WL[1:0]10AIF1 数字音频接口字长
00 = 16 bits
01 = 20 bits
10 = 24 bits
11 = 32 bits
注意 - 8bit模式可以使用"压缩"控制位。
  
4:3AIF1_FMT[1:0]10AIF1 数字音频接口格式
00 = 右对齐
01 = 左对齐
10 = I2S Format
11 = DSP Mode
  
R769
(0301h)
AIF1 Control
(2)
AIF1控制215AIF1DACL_SR
C
0AIF1 左侧接收数据源选择
0 = 左侧 DAC 接收左侧接口数据
1 = 左侧 DAC 接收右侧接口数据
  
14AIF1DACR_SR
C
1AIF1 Right Receive Data Source Select
0 = Right DAC receives left interface data
1 = Right DAC receives right interface data
  
11:10AIF1DAC_BOO
ST[1:0]
00AIF1 输入增益
00 = 0dB
01 = +6dB
10 = +12dB
11 = +18dB
  
4AIF1DAC_CO
MP
0AIF1 接收压缩启用
0 = disabled
1 = enabled
  
3AIF1DAC_CO
MPMODE
0AIF1 接收压缩类型
0 = μ-law
1 = A-law
  
2AIF1ADC_CO
MP
0AIF1 传输压缩启用
0 = disabled
1 = enabled
  
1AIF1ADC_CO
MPMODE
0AIF1 传输压缩类型
0 = μ-law
1 = A-law
  
0AIF1_LOOPBA
CK
0AIF1 数字环回功能
0 = 无环回
1 = 已启用环回(ADCDAT1 数据输出直接输入到 DACDAT1 数据输入)。
  
R770
(0302h)
AIF1
Master/Slav
e
AIF1主从设置15AIF1_TRI0AIF1 音频接口状态
0 = AIF1 引脚工作正常
1 = 所有 AIF1 接口引脚三态
请注意,仅当配置为 ADCLRCLK1 时,GPIO1 引脚由此寄存器控制。
  
14AIF1_MSTR0AIF1 音频接口主模式选择
0 = 从模式
1 = 主模式
  
13AIF1_CLK_FR
C
0当强制启用 BCLK1时,所有 AIF1 音频通道已禁用。
0 = 正常
1 = BCLK1 始终在主模式下启用
  
12AIF1_LRCLK_
FRC
0当强制启用 LRCLK1时,所有 AIF1 音频通道已禁用。
0 = 正常
1 = LRCLK1 始终在主模式下启用
  
R771
(0303h)
AIF1 BCLKAIF1 BCLK1时钟7:4AIF1_BCLK_DI
V[3:0]
0100BCLK1 速率
0000 = AIF1CLK
0001 = AIF1CLK / 2
0010 = AIF1CLK / 4
0011 = AIF1CLK / 6
0100 = AIF1CLK / 8
0101 = AIF1CLK / 12
0110 = AIF1CLK / 16
0111 = AIF1CLK / 24
1000 = AIF1CLK / 32
1001 = AIF1CLK / 48
所有其他代码都保留
  
R772
(0304h)
AIF1ADC
LRCLK
AIF1 ADC时钟11AIF1ADC_LRC
LK_DIR
0允许在从属模式下启用 ADCLRCLK1
0 = 正常
1 = 从属模式下启用 ADCLRCLK1
  
10:0AIF1ADC_RAT
E[10:0]
000_0100_
0000
ADCLRCLK1 速率
ADCLRCLK1 时钟输出 =
BCLK1 / AIF1ADC_RATE
整数 (LSB = 1)
有效范围 8...2047
  
R773
(0305h)
AIF1DAC
LRCLK
AIF1 DAC时钟11AIF1DAC_LRC
LK_DIR
0允许在从属模式下启用 LRCLK1
0 = 正常
1 = 从属模式下启用 LRCLK1
  
10:0AIF1DAC_RAT
E[10:0]
000_0100_
0000
LRCLK1 速率
LRCLK1 时钟输出 =
BCLK1 / AIF1DAC_RATE
整数 (LSB = 1)有效范围 8...2047
  
R774
(0306h)
AIF1DAC
Data
AIF1 DAC数据格式1AIF1DACL_DA
T_INV
0AIF1 左侧接收数据反转
0 = 未倒置
1 = 反转
  
0AIF1DACR_DA
T_INV
0AIF1 右侧接收数据反转
0 = 未倒置
1 = 反转
  
R775
(0307h)
AIF1ADC
Data
AIF1 ADC数据格式1AIF1ADCL_DA
T_INV
0AIF1 左侧传输数据反转
0 = 未倒置
1 = 反转
  
0AIF1ADCR_DA
T_INV
0AIF1 右传输数据反转
0 = 未倒置
1 = 反转
  
R784
(0310h)
AIF2 Control
(1)
AIF2控制115AIF2ADCL_SR
C
0AIF2 左侧数字音频接口源
0 = 左侧ADC数据输出在左通道上
1 = 右ADC数据输出在左通道上
  
14AIF2ADCR_SR
C
1AIF2 右侧数字音频接口源
0 = 右通道输出左ADC数据
1 = 右通道输出右ADC数据
  
13AIF2ADC_TDM0AIF2 传输 (ADC) TDM 启用
0 = 正常 ADCDAT2 操作
1 = ADCDAT2 上启用 TDM
  
12AIF2ADC_TDM
_CHAN
0AIF2 传输 (ADC) TDM 插槽选择
0 = 插槽 0
1 = 插槽 1
  
8AIF2_BCLK_IN
V
0BCLK2 反转
0 = BCLK2 未反转
1 = BCLK2 倒置
  
7AIF2_LRCLK_I
NV
0右对齐、左对齐和 I2S 模式的 LRCLK2 极性设置
0 = 正常 LRCLK2 极性
1 = 反转 LRCLK2 极性
DSP 模式 = 模式 A\/B 选择
0 = MSB 可在 BCLK2 上升沿后的第 2 个LRCLK2 上升沿(模式 A)
1 = MSB 可在 BCLK2 上升沿后的第 1 个LRCLK2 上升沿(模式 B)
  
6:5AIF2_WL[1:0]10AIF2 数字音频接口字长
00 = 16 位
01 = 20 位
10 = 24 位
11 × 32 位
注意 :8bit模式 可以使用"压缩"控制位。
  
4:3AIF2_FMT[1:0]10AIF2 数字音频接口格式
00 = 右对齐
01 = 左对齐
10 = I2S 格式
11 = DSP 模式
  
R785
(0311h)
AIF2 Control
(2)
AIF2控制215AIF2DACL_SR
C
0AIF2 左侧接收数据源选择
0 = 左侧 DAC 接收左侧接口数据
1 = 左侧 DAC 接收右侧接口数据
  
14AIF2DACR_SR
C
1AIF2 右接收数据源选择
0 = 右侧 DAC 接收左侧接口数据
1 = 右 DAC 接收正确的接口数据
  
13AIF2DAC_TDM0AIF2 接收 (DAC) TDM 启用
0 = 正常 DACDAT2 操作
1 = 在 DACDAT2 上启用 TDM
  
12AIF2DAC_TDM
_CHAN
0AIF2 接收(DAC) TDM 插槽选择
0 = 插槽 0
1 = 插槽 1
  
11:10AIF2DAC_BOO
ST[1:0]
00AIF2 输入增益
00 = 0dB
01 = +6dB
10 = +12dB
11 = +18dB
  
4AIF2DAC_CO
MP
0AIF2 接收压缩使能
0 = disabled
1 = enabled
  
3AIF2DAC_CO
MPMODE
0AIF2 接收压缩类型
0 = μ-law
1 = A-law
  
2AIF2ADC_CO
MP
0AIF2 传输压缩使能
0 = disabled
1 = enabled
  
1AIF2ADC_CO
MPMODE
0AIF2 传输压缩类型
0 = μ-law
1 = A-law
  
0AIF2_LOOPBA
CK
0AIF2 数字环回功能
0 = 无环回
1 = 已启用环回(ADCDAT2 数据输出直接输入到 DACDAT2 数据输入)。
  
R786
(0312h)
AIF2
Master/Slav
e
AIF2主从设置15AIF2_TRI0AIF2 音频接口三态
0 = AIF2 引脚工作正常
1 = AIF2 所有接口引脚三态
请注意,未配置为 AIF2 功能的引脚不受此寄存器的影响。
  
14AIF2_MSTR0AIF2 音频接口主从模式选择
0 = 从模式
1 = 主模式
  
13AIF2_CLK_FR
C
0强制启用 BCLK2,当所有 AIF2 音频通道已禁用时
0 = 正常
1 = BCLK2 始终在主模式下启用
  
12AIF2_LRCLK_
FRC
0强制启用 LRCLK2,当所有 AIF2 音频通道已禁用时。
0 = 正常
1 = LRCLK2 始终在主模式下启用
  
R787
(0313h)
AIF2 BCLKAIF2 BCLK设置7:4AIF2_BCLK_DI
V[3:0]
0100BCLK2 速率
0000 = AIF2CLK
0001 = AIF2CLK / 2
0010 = AIF2CLK / 4
0011 = AIF2CLK / 6
0100 = AIF2CLK / 8
0101 = AIF2CLK / 12
0110 = AIF2CLK / 16
0111 = AIF2CLK / 24
1000 = AIF2CLK / 32
1001 = AIF2CLK / 48
所有其他代码都保留
  
R788
(0314h)
AIF2ADC
LRCLK
AIF2 ADC时钟设置11AIF2ADC_LRC
LK_DIR
0允许在从属模式下启用 ADCLRCLK2
0 = 正常
1 = 从属模式下启用 ADCLRCLK2
  
10:0AIF2ADC_RAT
E[10:0]
000_0100_
0000
ADCLRCLK2 速率
ADCLRCLK2 时钟输出 = BCLK2 / AIF2ADC_RATE
整数 (LSB = 1)
有效范围 8...2047
  
R789
(0315h)
AIF2DAC
LRCLK
AIF2 DAC时钟设置11AIF2DAC_LRC
LK_DIR
0允许在从属模式下启用 LRCLK2
0 = 正常
1 = 从属模式下启用 LRCLK2
  
10:0AIF2DAC_RAT
E[10:0]
000_0100_
0000
LRCLK2 速率
LRCLK2 时钟输出 = BCLK2 / AIF2DAC_RATE
整数 (LSB = 1)
有效范围 8...2047
  
R790
(0316h)
AIF2DAC
Data
AIF2 DAC数据设置1AIF2DACL_DA
T_INV
0AIF2 左侧接收数据反转
0 = 未倒置
1 = 反转
  
0AIF2DACR_DA
T_INV
0AIF2 右侧接收数据反转
0 = 未倒置
1 = 反转
  
R791
(0317h)
AIF2ADC
Data
AIF2 ADC数据设置1AIF2ADCL_DA
T_INV
0AIF2 左传输数据反转
0 = 未倒置
1 = 反转
  
0AIF2ADCR_DA
T_INV
0AIF2 右传输数据反转
0 = 未倒置
1 = 反转
  
R1024
(0400h)
AIF1 ADC1
Left Volume
AIF1 ADC1 左音量8AIF1ADC1_VU0AIF1ADC1 输出路径 (AIF1, 时隙 0) 音量更新
向此位写入 1 将导致 AIF1ADC1L 和 AIF1ADC1R 音量同时更新
  
7:0AIF1ADC1L_V
OL[7:0]
1100_0000AIF1ADC1(左)输出路径(AIF1,时隙0)数字音量
00h = 静音
01h = -71.625dB
… (0.375dB 步进)
EFh = +17.625dB
  
R1025
(0401h)
AIF1 ADC1
Right
Volume
AIF1 ADC1 右音量8AIF1ADC1_VU0AIF1ADC1 输出路径 (AIF1, 时隙 0) 音量更新
向此位写入 1 将导致 AIF1ADC1L 和AIF1ADC1R 音量同时更新
  
7:0AIF1ADC1R_V
OL[7:0]
1100_0000AIF1ADC1(右)输出路径(AIF1,时隙0)数字音量
00h = 静音
01h = -71.625dB
… (0.375dB 步进)
EFh = +17.625dB
  
R1026
(0402h)
AIF1 DAC1
Left Volume
AIF1 DAC1左音量8AIF1DAC1_VU0AIF1DAC1 输入路径 (AIF1, 时隙 0) 音量更新
向此位写入 1 将导致 AIF1DAC1L 和 AIF1DAC1R 音量同时更新
  
7:0AIF1DAC1L_V
OL[7:0]
1100_0000AIF1DAC1(左)输入路径(AIF1,时隙0)数字音量
00h = 静音
01h = -71.625dB
… (0.375dB 步进)
C0h = 0dB
FFh = 0dB
  
R1027
(0403h)
AIF1 DAC1
Right
Volume
AIF1 DAC1右音量8AIF1DAC1_VU0AIF1DAC1 输入路径 (AIF1, 时隙 0) 音量更新
向此位写入 1 将导致 AIF1DAC1L 和 AIF1DAC1R 音量同时更新
  
7:0AIF1DAC1R_V
OL[7:0]
1100_0000AIF1DAC1(右)输入路径(AIF1,时隙0)数字音量
00h = 静音
01h = -71.625dB
… (0.375dB 步进)
C0h = 0dB
FFh = 0dB
  
R1028
(0404h)
AIF1 ADC2
Left Volume
AIF1 ADC2左音量8AIF1ADC2_VU0AIF1ADC2 输出路径 (AIF1, 时隙 1) 音量更新
向此位写入 1 将导致 AIF1ADC2L 和 AIF1ADC2R 音量同时更新
  
7:0AIF1ADC2L_V
OL[7:0]
1100_0000AIF1ADC2(左)输出路径(AIF1,时隙1)数字音量
00h = 静音
01h = -71.625dB
… (0.375dB 步进)
EFh = +17.625dB
  
R1029
(0405h)
AIF1 ADC2
Right
Volume
AIF1 ADC2右音量8AIF1ADC2_VU0AIF1ADC2 输出路径 (AIF1, 时隙 1) 音量更新
向此位写入 1 将导致 AIF1ADC2L 和 AIF1ADC2R 音量同时更新
  
7:0AIF1ADC2R_V
OL[7:0]
1100_0000AIF1ADC2(右)输出路径(AIF1,时隙1)数字音量
00h = 静音
01h = -71.625dB
… (0.375dB 步进)
EFh = +17.625dB
  
R1030
(0406h)
AIF1 DAC2
Left Volume
AIF1 DAC2左音量8AIF1DAC2_VU0AIF1DAC2 输入路径 (AIF1, 时隙 1) 音量更新
向此位写入 1 将导致 AIF1DAC2L 和 AIF1DAC2R 音量同时更新
  
7:0AIF1DAC2L_V
OL[7:0]
1100_0000AIF1DAC2(左)输入路径(AIF1,时隙1)数字音量
00h = 静音
01h = -71.625dB
… (0.375dB 步进)
C0h = 0dB
FFh = 0dB
  
R1031
(0407h)
AIF1 DAC2
Right
Volume
AIF1 DAC2右音量8AIF1DAC2_VU0AIF1DAC2 输入路径 (AIF1, 时隙 1) 音量更新
向此位写入 1 将导致 AIF1DAC2L 和 AIF1DAC2R 音量同时更新
  
7:0AIF1DAC2R_V
OL[7:0]
1100_0000AIF1DAC2(右)输入路径(AIF1,时隙1)数字音量
00h = 静音
01h = -71.625dB
… (0.375dB 步进)
C0h = 0dB
FFh = 0dB
  
R1040
(0410h)
AIF1 ADC1
Filters
AIF1 ADC1滤波器14:13AIF1ADC1_HP
F_CUT[1:0]
00AIF1ADC1 输出路径 (AIF1, 时隙 0) 数字 HPF 截止频率(fc)
00 = Hi-fi 模式 (fc = 4Hz at fs = 48kHz)
01 = 语音模式 1 (fc = 127Hz at fs = 8kHz)
10 = 语音模式 2 (fc = 130Hz at fs = 8kHz)
11 = 语音模式 3 (fc = 267Hz at fs = 8kHz)
  
12AIF1ADC1L_H
PF
0AIF1ADC1(左)输出路径(AIF1,时隙0)数字 HPF 启用
0 = Disabled
1 = Enabled
  
11AIF1ADC1R_H
PF
0AIF1ADC1(右)输出路径(AIF1,时隙0)数字 HPF 启用
0 = Disabled
1 = Enabled
  
R1041
(0411h)
AIF1 ADC2
Filters
AIF1 ADC2滤波器14:13AIF1ADC2_HP
F_CUT[1:0]
00AIF1ADC2 输出路径 (AIF1, 时隙 1) 数字 HPF 截止频率(fc)
00 = Hi-fi 模式 (fc = 4Hz at fs = 48kHz)
01 = 语音模式 1 (fc = 127Hz at fs = 8kHz)
10 = 语音模式 2 (fc = 130Hz at fs = 8kHz)
11 = 语音模式 3 (fc = 267Hz at fs = 8kHz)
  
12AIF1ADC2L_H
PF
0AIF1ADC2(左)输出路径(AIF1,时隙1)数字 HPF 启用
0 = Disabled
1 = Enabled
  
11AIF1ADC2R_H
PF
0AIF1ADC2(右)输出路径(AIF1,时隙1)数字 HPF 启用
0 = Disabled
1 = Enabled
  
R1056
(0420h)
AIF1 DAC1
Filters (1)
AIF1 DAC1滤波器19AIF1DAC1_MU
TE
1AIF1DAC1 输入路径 (AIF1, 时隙 0) 软静音控制
0 = 取消静音
1 = 静音
  
7AIF1DAC1_MO
NO
0AIF1DAC1 输入路径 (AIF1, 时隙 0) 单声道混合控制
0 = Disabled
1 = Enabled
  
5AIF1DAC1_MU
TERATE
0AIF1DAC1 输入路径 (AIF1, 时隙 0) 软静音斜率
0 = 快速斜率(fs\/2,最大斜坡时间是 10.7ms,在fs=48k)
1 = 慢速斜率(fs\/32,最大斜坡时间是 171ms,在fs=48k)
(注意:斜坡速率随采样率而缩放)。
  
4AIF1DAC1_UN
MUTE_RAMP
0AIF1DAC1 输入路径 (AIF1, 时隙 0) 取消静音斜率选择
0 = 禁用软静音 (AIF1DAC1_MUTE=0) 将使音量立即更改为AIF1DAC1L_VOL和AIF1DAC1R_VOL设置
1 = 禁用软静音 (AIF1DAC1_MUTE=0) 将导致 DAC 音量逐渐增大到AIF1DAC1L_VOL和AIF1DAC1R_VOL设置
  
2:1AIF1DAC1_DE
EMP[1:0]
00AIF1DAC1 输入路径 (AIF1, 时隙 0) 去强调控制
00 = 无重强调
01 = 32kHz 采样率
10 = 44.1kHz 采样率
11 = 48kHz 采样率
  
R1057
(0421h)
AIF1 DAC1
Filters (2)
AIF1 DAC1滤波器213:9AIF1DAC1_3D
_GAIN[4:0]
0_0000AIF1DAC1 播放路径 (AIF1, 时隙 0) 3D 立体声深度
00000 = 关闭
00001 = 最小值 (-16dB)
...(0.915dB 步长)
11111 = 最大值 ( 11.5dB)
  
8AIF1DAC1_3D
_ENA
0在 AIF1DAC1 播放路径中启用 3D 立体声(AIF1,时隙 0)
0 = Disabled
1 = Enabled
  
R1058
(0422h)
AIF1 DAC2
Filters (1)
AIF1 DAC2滤波器19AIF1DAC2_MU
TE
1AIF1DAC2 输入路径 (AIF1, 时隙 1) 软静音控制
0 = 取消静音
1 = 静音
  
7AIF1DAC2_MO
NO
0AIF1DAC2 输入路径 (AIF1, 时隙 1) 单声道混合控制
0 = Disabled
1 = Enabled
  
5AIF1DAC2_MU
TERATE
0AIF1DAC2 输入路径 (AIF1, 时隙 1) 软静音斜率
0 = 快速斜率(fs\/2,最大斜坡时间是 10.7ms,在fs=48k)
1 = 慢速斜率(fs\/32,最大斜坡时间是 171ms,在fs=48k)
(注意:斜坡速率随采样率而缩放。)
  
4AIF1DAC2_UN
MUTE_RAMP
0AIF1DAC2 输入路径 (AIF1, 时隙 1) 取消静音斜率选择
0 = 禁用软静音 (AIF1DAC2_MUTE=0) 将使音量立即更改为AIF1DAC2L_VOL和AIF1DAC2R_VOL设置
1 = 禁用软静音 (AIF1DAC2_MUTE=0) 将导致 DAC 音量逐渐增大到AIF1DAC2L_VOL和AIF1DAC2R_VOL设置
  
2:1AIF1DAC2_DE
EMP[1:0]
00AIF1DAC2 输入路径 (AIF1, 时隙 1) 去强调控制
00 = 无重强调
01 = 32kHz 采样率
10 = 44.1kHz 采样率
11 = 48kHz 采样率
  
R1059
(0423h)
AIF1 DAC2
Filters (2)
AIF1 DAC2滤波器213:9AIF1DAC2_3D
_GAIN[4:0]
0_0000AIF1DAC2 播放路径 (AIF1, 时隙 1) 3D 立体声深度
00000 = 关闭
00001 = 最小值 (-16dB)
...(0.915dB 步长)
11111 = 最大值 ( 11.5dB)
  
8AIF1DAC2_3D
_ENA
0在 AIF1DAC2 播放路径中启用 3D 立体声(AIF1,时隙 1)
0 = Disabled
1 = Enabled
  
R1088
(0440h)
AIF1 DRC1
(1)
AIF1 录音通道1设置115:11AIF1DRC1_SI
G_DET_RMS[4
:0]
0_0000AIF1 DRC1 信号检测 RMS 阈值。
这是用于AIF1DRC1_SIG_DET_MODE=0时,检测信号的 RMS 信号电平指示。
00000 = -30dB
00001 = -31.5dB
…. (1.5dB 步进)
11110 = -75dB
11111 = -76.5dB
  
10:9AIF1DRC1_SI
G_DET_PK[1:0
]
00AIF1 DRC1 信号检测峰值阈值。
这是峰值/RMS 比率,或 Crest 因子,当AIF1DRC1_SIG_DET_MODE=1时信号检测电平。
00 = 12dB
01 = 18dB
10 = 24dB
11 = 30dB
  
8AIF1DRC1_NG
_ENA
0AIF1 DRC1 噪声门启用
0 = Disabled
1 = Enabled
  
7AIF1DRC1_SI
G_DET_MODE
1AIF1 DRC1 信号检测模式
0 = RMS 阈值模式
1 = 峰值阈值模式
  
6AIF1DRC1_SI
G_DET
0AIF1 DRC1 信号检测使能
0 = Disabled
1 = Enabled
  
5AIF1DRC1_KN
EE2_OP_ENA
0AIF1 DRC1 KNEE2_OP使能
0 = Disabled
1 = Enabled
  
4AIF1DRC1_QR1AIF1 DRC1 Quick-release 使能
0 = Disabled
1 = Enabled
  
3AIF1DRC1_AN
TICLIP
1AIF1 DRC1 Anti-clip 使能
0 = Disabled
1 = Enabled
  
2AIF1DAC1_DR
C_ENA
0在 AIF1DAC1 播放路径启用 DRC(AIF1 ,时隙 0)
0 = Disabled
1 = Enabled
  
1AIF1ADC1L_D
RC_ENA
0在 AIF1ADC1(左)录音路径中启用 DRC (AIF1 ,时隙 0)
0 = Disabled
1 = Enabled
  
0AIF1ADC1R_D
RC_ENA
0在 AIF1ADC1(右)录音路径中启用 DRC (AIF1 ,时隙 0)
0 = Disabled
1 = Enabled
  
R1089
(0441h)
AIF1 DRC1
(2)
AIF1 录音通道1设置212:9AIF1DRC1_AT
K[3:0]
0100AIF1 DRC1 增益攻击率(秒/6dB)
0000 = 保留
0001 = 181us
0010 = 363us
0011 = 726us
0100 = 1.45ms
0101 = 2.9ms
0110 = 5.8ms
0111 = 11.6ms
1000 = 23.2ms
1001 = 46.4ms
1010 = 92.8ms
1011 = 185.6ms
1100-1111 = 保留
  
8:5AIF1DRC1_DC
Y[3:0]
0010AIF1 DRC1 增益衰减率(秒\/6dB)
0000 = 186ms
0001 = 372ms
0010 = 743ms
0011 = 1.49s
0100 = 2.97s
0101 = 5.94s
0110 = 11.89s
0111 = 23.78s
1000 = 47.56s
1001-1111 = 秒
  
4:2AIF1DRC1_MI
NGAIN[2:0]
001AIF1 DRC1 衰减音频信号的最小增益
000 = 0dB
001 = -12dB (默认)
010 = -18dB
011 = -24dB
100 = -36dB
101 = 保留
11X = 保留
  
1:0AIF1DRC1_MA
XGAIN[1:0]
01AIF1 DRC1 最大增益以增强音频信号 (dB)
00 = 12dB
01 = 18dB
10 = 24dB
11 = 36dB
  
R1090
(0442h)
AIF1 DRC1
(3)
AIF1 录音通道1设置315:12AIF1DRC1_NG
_MINGAIN[3:0]
0000AIF1 DRC1 当噪声门处于活动状态时衰减音频信号的最小增益。
0000 = -36dB
0001 = -30dB
0010 = -24dB
0011 = -18dB
0100 = -12dB
0101 = -6dB
0110 = 0dB
0111 = 6dB
1000 = 12dB
1001 = 18dB
1010 = 24dB
1011 = 30dB
1100 = 36dB
1101 to 1111 = 保留
  
11:10AIF1DRC1_NG
_EXP[1:0]
00AIF1 DRC1 噪声门斜率
00 = 1(无扩展)
01 = 2
10 = 4
11 = 8
  
9:8AIF1DRC1_QR
_THR[1:0]
00AIF1 DRC1 快速释放阈值(峰值因子以 dB 表示)
00 = 12dB
01 = 18dB
10 = 24dB
11 = 30dB
  
7:6AIF1DRC1_QR
_DCY[1:0]
00AIF1 DRC1 快速释放衰减率(秒/6dB)
00 = 0.725ms
01 = 1.45ms
10 = 5.8ms
11 = 保留
  
5:3AIF1DRC1_HI_
COMP[2:0]
000AIF1 DRC1 压缩斜率(上部区域)
000 = 1(无压缩)
001 = 1/2
010 = 1/4
011 = 1/8
100 = 1/16
101 = 0
110 = 保留
111 = 保留
  
2:0AIF1DRC1_LO
_COMP[2:0]
000AIF1 DRC1 压缩斜率(较低区域)
000 = 1(无压缩)
001 = 1/2
010 = 1/4
011 = 1/8
100 = 0
101 = 保留
11X = 保留
  
R1091
(0443h)
AIF1 DRC1
(4)
AIF1 录音通道1设置410:5AIF1DRC1_KN
EE_IP[5:0]
00_0000AIF1 DRC1 输入信号压缩电平阈值。
000000 = 0dB
000001 = -0.75dB
000010 = -1.5dB
...(-0.75dB 步长)
111100 × -45dB
111101 = 保留
11111X = 保留
  
4:0AIF1DRC1_KN
EE_OP[4:0]
0_0000AIF1 DRC1 输出信号压缩电平阈值.
00000 = 0dB
00001 = -0.75dB
00010 = -1.5dB
… (-0.75dB 步进)
11110 = -22.5dB
11111 = 保留
  
R1092
(0444h)
AIF1 DRC1
(5)
AIF1 录音通道1设置59:5AIF1DRC1_KN
EE2_IP[4:0]
0_0000AIF1 DRC1 Input signal level at the Noise Gate
threshold ‘Knee2’.
00000 = -36dB
00001 = -37.5dB
00010 = -39dB
… (-1.5dB steps)
11110 = -81dB
11111 = -82.5dB
Only applicable when DRC_NG_ENA = 1.
  
4:0AIF1DRC1_KN
EE2_OP[4:0]
0_0000AIF1 DRC1 输出信号噪声阈值2
00000 = -30dB
00001 = -31.5dB
00010 = -33dB
… (-1.5dB 步进)
11110 = -75dB
11111 = -76.5dB
仅适用于DRC_KNEE2_OP_ENA = 1。
  
R1104
(0450h)
AIF1 DRC2
(1)
AIF1 录音通道2设置115:11AIF1DRC2_SI
G_DET_RMS[4
:0]
0_0000AIF1 DRC2 信号检测 RMS 阈值。
这是用于AIF1DRC2_SIG_DET_MODE=0时检测 RMS 信号电平。
00000 = -30dB
00001 = -31.5dB
…. (1.5dB 步进)
11110 = -75dB
11111 = -76.5dB
  
10:9AIF1DRC2_SI
G_DET_PK[1:0
]
00AIF1 DRC2 信号检测峰值阈值。
当AIF1DRC2_SIG_DET_MODE=1时,检测信号的峰值/RMS 比率,或 Crest 因子
00 = 12dB
01 = 18dB
10 = 24dB
11 = 30dB
  
8AIF1DRC2_NG
_ENA
0AIF1 DRC2 噪声门启用
0 = Disabled
1 = Enabled
  
7AIF1DRC2_SI
G_DET_MODE
1AIF1 DRC2 信号检测模式
0 = RMS 阈值模式
1 = 峰值阈值模式
  
6AIF1DRC2_SI
G_DET
0AIF1 DRC2 信号检测启用
0 = Disabled
1 = Enabled
  
5AIF1DRC2_KN
EE2_OP_ENA
0AIF1 DRC2 KNEE2_OP启用
0 = Disabled
1 = Enabled
  
4AIF1DRC2_QR1AIF1 DRC2 Quick-release 启用
0 = Disabled
1 = Enabled
  
3AIF1DRC2_AN
TICLIP
1AIF1 DRC2 Anti-clip 启用
0 = Disabled
1 = Enabled
  
2AIF1DAC2_DR
C_ENA
0在 AIF1DAC2 播放路径启用 DRC(AIF1,时隙 1)
0 = Disabled
1 = Enabled
  
1AIF1ADC2L_D
RC_ENA
0在 AIF1ADC2(左)录音路径中启用DRC (AIF1,时隙 1)
0 = Disabled
1 = Enabled
  
0AIF1ADC2R_D
RC_ENA
0在 AIF1ADC2(右)录音路径中启用 DRC (AIF1 ,时隙 1)
0 = Disabled
1 = Enabled
  
R1105
(0451h)
AIF1 DRC2
(2)
AIF1 录音通道2设置212:9AIF1DRC2_AT
K[3:0]
0100AIF1 DRC2 增益攻击率(秒/6dB)
0000 = 保留
0001 = 181us
0010 = 363us
0011 = 726us
0100 = 1.45ms
0101 = 2.9ms
0110 = 5.8ms
0111 = 11.6ms
1000 = 23.2ms
1001 = 46.4ms
1010 = 92.8ms
1011 = 185.6ms
1100-1111 = 保留
  
8:5AIF1DRC2_DC
Y[3:0]
0010AIF1 DRC2 增益衰减率(秒/6dB)
0000 = 186ms
0001 = 372ms
0010 = 743ms
0011 = 1.49s
0100 = 2.97s
0101 = 5.94s
0110 = 11.89s
0111 = 23.78s
1000 = 47.56s
1001-1111 = 保留
  
4:2AIF1DRC2_MI
NGAIN[2:0]
001AIF1 DRC2 衰减音频信号的最小增益
000 = 0dB
001 = -12dB (默认)
010 = -18dB
011 = -24dB
100 = -36dB
101 = 保留
11X = 保留
  
1:0AIF1DRC2_MA
XGAIN[1:0]
01AIF1 DRC2 最大增益以增强音频信号 (dB)
00 = 12dB
01 = 18dB
10 = 24dB
11 = 36dB
  
R1106
(0452h)
AIF1 DRC2
(3)
AIF1 录音通道2设置315:12AIF1DRC2_NG
_MINGAIN[3:0]
0000AIF1 DRC2 当噪声门处于活动状态时衰减音频信号的最小增益。
0000 = -36dB
0001 = -30dB
0010 = -24dB
0011 = -18dB
0100 = -12dB
0101 = -6dB
0110 = 0dB
0111 = 6dB
1000 = 12dB
1001 = 18dB
1010 = 24dB
1011 = 30dB
1100 = 36dB
1101 to 1111 = 保留
  
11:10AIF1DRC2_NG
_EXP[1:0]
00AIF1 DRC2 噪声门斜率
00 = 1(无扩展)
01 = 2
10 = 4
11 = 8
  
9:8AIF1DRC2_QR
_THR[1:0]
00AIF1 DRC2 快速释放阈值(峰值因子以 dB 表示)
00 = 12dB
01 = 18dB
10 = 24dB
11 = 30dB
  
7:6AIF1DRC2_QR
_DCY[1:0]
00AIF1 DRC2 快速释放衰减率(秒/6dB)
00 = 0.725ms
01 = 1.45ms
10 = 5.8ms
11 = 保留
  
5:3AIF1DRC2_HI_
COMP[2:0]
000AIF1 DRC2 压缩斜率(上部区域)
000 = 1(无压缩)
001 = 1/2
010 = 1/4
011 = 1/8
100 = 1/16
101 = 0
110 = 保留
111 = 保留
  
2:0AIF1DRC2_LO
_COMP[2:0]
000AIF1 DRC2 压缩斜率(较低区域)
000 = 1(无压缩)
001 = 1/2
010 = 1/4
011 = 1/8
100 = 0
101 = 保留
11X = 保留
  
R1107
(0453h)
AIF1 DRC2
(4)
AIF1 录音通道2设置410:5AIF1DRC2_KN
EE_IP[5:0]
00_0000AIF1 DRC2 输入信号电平压缩阈值
000000 = 0dB
000001 = -0.75dB
000010 = -1.5dB
… (-0.75dB 步进)
111100 = -45dB
111101 = 保留
11111X = 保留
  
4:0AIF1DRC2_KN
EE_OP[4:0]
0_0000AIF1 DRC2 输出信号压缩阈值。
00000 = 0dB
00001 = -0.75dB
00010 = -1.5dB
… (-0.75dB 步进)
11110 = -22.5dB
11111 = 保留
  
R1108
(0454h)
AIF1 DRC2
(5)
AIF1 录音通道2设置59:5AIF1DRC2_KN
EE2_IP[4:0]
0_0000AIF1 DRC2 输入信号噪声门阈值电平2
00000 = -36dB
00001 = -37.5dB
00010 = -39dB
… (-1.5dB steps)
11110 = -81dB
11111 = -82.5dB
仅适用于DRC_NG_ENA = 1。
  
4:0AIF1DRC2_KN
EE2_OP[4:0]
0_0000AIF1 DRC2 输出信号噪声门阈值2
00000 = -30dB
00001 = -31.5dB
00010 = -33dB
… (-1.5dB 步进)
11110 = -75dB
11111 = -76.5dB
仅适用于DRC_KNEE2_OP_ENA = 1。
  
R1152
(0480h)
AIF1 DAC1
EQ Gains
(1)
AIF1 DAC1 EQ设置115:11AIF1DAC1_EQ
_B1_GAIN[4:0]
0_1100AIF1DAC1 (AIF1, 时隙 0) EQ 频段 1 增益
00000 = -12dB
00001 = -11dB

10111 = +11dB
11000 = +12dB
11001 to 11111 保留
  
10:6AIF1DAC1_EQ
_B2_GAIN[4:0]
0_1100AIF1DAC1 (AIF1, 时隙 0) EQ 频段 2 增益
00000 = -12dB
00001 = -11dB

10111 = +11dB
11000 = +12dB
11001 to 11111 保留
  
5:1AIF1DAC1_EQ
_B3_GAIN[4:0]
0_1100AIF1DAC1 (AIF1, 时隙 0) EQ 频段 3 增益
00000 = -12dB
00001 = -11dB

10111 = +11dB
11000 = +12dB
11001 to 11111 保留
  
0AIF1DAC1_EQ
_ENA
0在AIF1DAC1播放路径(AIF1,时隙)中启用EQ0)
0 = Disabled
1 = Enabled
  
R1153
(0481h)
AIF1 DAC1
EQ Gains
(2)
AIF1 DAC1 EQ设置215:11AIF1DAC1_EQ
_B4_GAIN[4:0]
0_1100AIF1DAC1 (AIF1, 时隙 0) EQ 频段 4 增益
00000 = -12dB
00001 = -11dB

10111 = +11dB
11000 = +12dB
11001 to 11111 保留
  
10:6AIF1DAC1_EQ
_B5_GAIN[4:0]
0_1100AIF1DAC1 (AIF1, 时隙 0) EQ 频段 5 增益
00000 = -12dB
00001 = -11dB

10111 = +11dB
11000 = +12dB
11001 to 11111 保留
  
R1154
(0482h)
AIF1 DAC1
EQ Band 1
A
AIF1 DAC1 EQ频段1系数A15:0AIF1DAC1_EQ
_B1_A[15:0]
0000_1111
_1100_101
0
均衡器频段1系数A  
R1155
(0483h)
AIF1 DAC1
EQ Band 1
B
AIF1 DAC1 EQ频段1系数B15:0AIF1DAC1_EQ
_B1_B[15:0]
0000_0100
_0000_000
0
均衡器频段1系数B  
R1156
(0484h)
AIF1 DAC1
EQ Band 1
PG
AIF1 DAC1 EQ频段1系数PG15:0AIF1DAC1_EQ
_B1_PG[15:0]
0000_0000
_1101_100
0
均衡器频段1系数PG  
R1157
(0485h)
AIF1 DAC1
EQ Band 2
A
AIF1 DAC1 EQ频段2系数A15:0AIF1DAC1_EQ
_B2_A[15:0]
0001_1110
_1011_010
1
均衡器频段2系数A  
R1158
(0486h)
AIF1 DAC1
EQ Band 2
B
AIF1 DAC1 EQ频段2系数B15:0AIF1DAC1_EQ
_B2_B[15:0]
1111_0001
_0100_010
1
均衡器频段2系数B  
R1159
(0487h)
AIF1 DAC1
EQ Band 2
C
AIF1 DAC1 EQ频段2系数C15:0AIF1DAC1_EQ
_B2_C[15:0]
0000_1011
_0111_010
1
均衡器频段2系数C  
R1160
(0488h)
AIF1 DAC1
EQ Band 2
PG
AIF1 DAC1 EQ频段2系数PG15:0AIF1DAC1_EQ
_B2_PG[15:0]
0000_0001
_1100_010
1
均衡器频段2系数PG  
R1161
(0489h)
AIF1 DAC1
EQ Band 3
A
AIF1 DAC1 EQ频段3系数A15:0AIF1DAC1_EQ
_B3_A[15:0]
0001_1100
_0101_100
0
均衡器频段3系数A  
R1162
(048Ah)
AIF1 DAC1
EQ Band 3
B
AIF1 DAC1 EQ频段3系数B15:0AIF1DAC1_EQ
_B3_B[15:0]
1111_0011
_0111_001
1
均衡器频段3系数B  
R1163
(048Bh)
AIF1 DAC1
EQ Band 3
C
AIF1 DAC1 EQ频段3系数C15:0AIF1DAC1_EQ
_B3_C[15:0]
0000_1010
_0101_010
0
均衡器频段3系数C  
R1164
(048Ch)
AIF1 DAC1
EQ Band 3
PG
AIF1 DAC1 EQ频段3系数PG15:0AIF1DAC1_EQ
_B3_PG[15:0]
0000_0101
_0101_100
0
均衡器频段3系数PG  
R1165
(048Dh)
AIF1 DAC1
EQ Band 4
A
AIF1 DAC1 EQ频段4系数A15:0AIF1DAC1_EQ
_B4_A[15:0]
0001_0110
_1000_111
0
均衡器频段4系数A  
R1166
(048Eh)
AIF1 DAC1
EQ Band 4
B
AIF1 DAC1 EQ频段4系数B15:0AIF1DAC1_EQ
_B4_B[15:0]
1111_1000
_0010_100
1
均衡器频段4系数B  
R1167
(048Fh)
AIF1 DAC1
EQ Band 4
C
AIF1 DAC1 EQ频段4系数C15:0AIF1DAC1_EQ
_B4_C[15:0]
0000_0111
_1010_110
1
均衡器频段4系数C  
R1168
(0490h)
AIF1 DAC1
EQ Band 4
PG
AIF1 DAC1 EQ频段4系数PG15:0AIF1DAC1_EQ
_B4_PG[15:0]
0001_0001
_0000_001
1
均衡器频段4系数PG  
R1169
(0491h)
AIF1 DAC1
EQ Band 5
A
AIF1 DAC1 EQ频段5系数A15:0AIF1DAC1_EQ
_B5_A[15:0]
0000_0101
_0110_010
0
均衡器频段5系数A  
R1170
(0492h)
AIF1 DAC1
EQ Band 5
B
AIF1 DAC1 EQ频段5系数B15:0AIF1DAC1_EQ
_B5_B[15:0]
0000_0101
_0101_100
1
均衡器频段5系数B  
R1171
(0493h)
AIF1 DAC1
EQ Band 5
PG
AIF1 DAC1 EQ频段5系数PG15:0AIF1DAC1_EQ
_B5_PG[15:0]
0100_0000
_0000_000
0
均衡器频段5系数PG  
R1184
(04A0h)
AIF1 DAC2
EQ Gains
(1)
AIF1 DAC2 EQ设置115:11AIF1DAC2_EQ
_B1_GAIN[4:0]
0_1100AIF1DAC2(AIF1,时隙1)EQ 频段 1增益
00000 = -12dB
00001 = -11dB

10111 = +11dB
11000 = +12dB
11001 to 11111 保留
  
10:6AIF1DAC2_EQ
_B2_GAIN[4:0]
0_1100AIF1DAC2(AIF1,时隙1)EQ 频段 2增益
00000 = -12dB
00001 = -11dB

10111 = +11dB
11000 = +12dB
11001 to 11111 保留
  
5:1AIF1DAC2_EQ
_B3_GAIN[4:0]
0_1100AIF1DAC2(AIF1,时隙1)EQ 频段 3增益
00000 = -12dB
00001 = -11dB

10111 = +11dB
11000 = +12dB
11001 to 11111 保留
  
0AIF1DAC2_EQ
_ENA
0在AIF1DAC2播放路径(AIF1,时隙)中启用EQ1)
0 = Disabled
1 = Enabled
  
R1185
(04A1h)
AIF1 DAC2
EQ Gains
(2)
AIF1 DAC2 EQ设置215:11AIF1DAC2_EQ
_B4_GAIN[4:0]
0_1100AIF1DAC2(AIF1,时隙1)EQ 频段 4增益
00000 = -12dB
00001 = -11dB

10111 = +11dB
11000 = +12dB
11001 to 11111 保留
  
10:6AIF1DAC2_EQ
_B5_GAIN[4:0]
0_1100AIF1DAC2(AIF1,时隙1)EQ 频段 5增益
00000 = -12dB
00001 = -11dB

10111 = +11dB
11000 = +12dB
11001 to 11111 保留
  
R1186
(04A2h)
AIF1 DAC2
EQ Band 1
A
AIF1 DAC2 EQ频段1系数A15:0AIF1DAC2_EQ
_B1_A[15:0]
0000_1111
_1100_101
0
均衡器频段1系数A  
R1187
(04A3h)
AIF1 DAC2
EQ Band 1
B
AIF1 DAC2 EQ频段1系数B15:0AIF1DAC2_EQ
_B1_B[15:0]
0000_0100
_0000_000
0
均衡器频段1系数B  
R1188
(04A4h)
AIF1 DAC2
EQ Band 1
PG
AIF1 DAC2 EQ频段1系数PG15:0AIF1DAC2_EQ
_B1_PG[15:0]
0000_0000
_1101_100
0
均衡器频段1系数PG  
R1189
(04A5h)
AIF1 DAC2
EQ Band 2
A
AIF1 DAC2 EQ频段2系数A15:0AIF1DAC2_EQ
_B2_A[15:0]
0001_1110
_1011_010
1
均衡器频段2系数A  
R1190
(04A6h)
AIF1 DAC2
EQ Band 2
B
AIF1 DAC2 EQ频段2系数B15:0AIF1DAC2_EQ
_B2_B[15:0]
1111_0001
_0100_010
1
均衡器频段2系数B  
R1191
(04A7h)
AIF1 DAC2
EQ Band 2
C
AIF1 DAC2 EQ频段2系数C15:0AIF1DAC2_EQ
_B2_C[15:0]
0000_1011
_0111_010
1
均衡器频段2系数C  
R1192
(04A8h)
AIF1 DAC2
EQ Band 2
PG
AIF1 DAC2 EQ频段2系数PG15:0AIF1DAC2_EQ
_B2_PG[15:0]
0000_0001
_1100_010
1
均衡器频段2系数PG  
R1193
(04A9h)
AIF1 DAC2
EQ Band 3
A
AIF1 DAC2 EQ频段3系数A15:0AIF1DAC2_EQ
_B3_A[15:0]
0001_1100
_0101_100
0
均衡器频段3系数A  
R1194
(04AAh)
AIF1 DAC2
EQ Band 3
B
AIF1 DAC2 EQ频段3系数B15:0AIF1DAC2_EQ
_B3_B[15:0]
1111_0011
_0111_001
1
均衡器频段3系数B  
R1195
(04ABh)
AIF1 DAC2
EQ Band 3
C
AIF1 DAC2 EQ频段3系数C15:0AIF1DAC2_EQ
_B3_C[15:0]
0000_1010
_0101_010
0
均衡器频段3系数C  
R1196
(04ACh)
AIF1 DAC2
EQ Band 3
PG
AIF1 DAC2 EQ频段3系数PG15:0AIF1DAC2_EQ
_B3_PG[15:0]
0000_0101
_0101_100
0
均衡器频段3系数PG  
R1197
(04ADh)
AIF1 DAC2
EQ Band 4
A
AIF1 DAC2 EQ频段4系数A15:0AIF1DAC2_EQ
_B4_A[15:0]
0001_0110
_1000_111
0
均衡器频段4系数A  
R1198
(04AEh)
AIF1 DAC2
EQ Band 4
B
AIF1 DAC2 EQ频段4系数B15:0AIF1DAC2_EQ
_B4_B[15:0]
1111_1000
_0010_100
1
均衡器频段4系数B  
R1199
(04AFh)
AIF1 DAC2
EQ Band 4
C
AIF1 DAC2 EQ频段4系数C15:0AIF1DAC2_EQ
_B4_C[15:0]
0000_0111
_1010_110
1
均衡器频段4系数C  
R1200
(04B0h)
AIF1 DAC2
EQ Band 4
PG
AIF1 DAC2 EQ频段4系数PG15:0AIF1DAC2_EQ
_B4_PG[15:0]
0001_0001
_0000_001
1
均衡器频段4系数PG  
R1201
(04B1h)
AIF1 DAC2
EQ Band 5
A
AIF1 DAC2 EQ频段5系数A15:0AIF1DAC2_EQ
_B5_A[15:0]
0000_0101
_0110_010
0
均衡器频段5系数A  
R1202
(04B2h)
AIF1 DAC2
EQ Band 5
B
AIF1 DAC2 EQ频段5系数B15:0AIF1DAC2_EQ
_B5_B[15:0]
0000_0101
_0101_100
1
均衡器频段5系数B  
R1203
(04B3h)
AIF1 DAC2
EQ Band 5
PG
AIF1 DAC2 EQ频段5系数PG15:0AIF1DAC2_EQ
_B5_PG[15:0]
0100_0000
_0000_000
0
均衡器频段5系数PG  
R1280
(0500h)
AIF2 ADC
Left Volume
AIF2 左ADC音量控制8AIF2ADC_VU0AIF2ADC 输出音量更新,向此位写入 1 将导致 AIF2ADCL 和 AIF2ADCR 音量同时更新  
7:0AIF2ADCL_VO
L[7:0]
1100_0000AIF2ADC(左)输出路径数字音量
00h = 静音
01h = -71.625dB
… (0.375dB 步进)
EFh = +17.625dB
  
R1281
(0501h)
AIF2 ADC
Right
Volume
AIF2 右ADC音量控制8AIF2ADC_VU0AIF2ADC 输出音量更新,向此位写入 1 将导致 AIF2ADCL 和 AIF2ADCR 音量同时更新  
7:0AIF2ADCR_VO
L[7:0]
1100_0000AIF2ADC(右)输出路径数字音量
00h = 静音
01h = -71.625dB
… (0.375dB 步进)
EFh = +17.625dB
  
R1282
(0502h)
AIF2 DAC
Left Volume
AIF2 左DAC音量控制8AIF2DAC_VU0AIF2DAC 输入音量更新,向此位写入 1 将导致 AIF2DACL 和 AIF2DACR 音量同时更新  
7:0AIF2DACL_VO
L[7:0]
1100_0000AIF2DAC(左)输入路径数字音量
00h = 静音
01h = -71.625dB
… (0.375dB 步进)
C0h = 0dB
FFh = 0dB
  
R1283
(0503h)
AIF2 DAC
Right
Volume
AIF2 右DAC音量控制8AIF2DAC_VU0AIF2DAC 输入音量更新,向此位写入 1 将导致 AIF2DACL 和 AIF2DACR 音量同时更新  
7:0AIF2DACR_VO
L[7:0]
1100_0000AIF2DAC(右)输入路径数字音量
00h = 静音
01h = -71.625dB
… (0.375dB 步进)
C0h = 0dB
FFh = 0dB
  
R1296 (0510h)AIF2 ADC
Filters
AIF2 ADC滤波15AIF2ADC_4FS0[没有可用的说明]  
14:13AIF2ADC_HPF_C
UT[1:0]
00AIF2ADC 输出路径 数字 HPF 截止频率(fc)
00 = Hi-fi 模式 (fc = 4Hz at fs = 48kHz)
01 = 语音模式 1 (fc = 127Hz at fs = 8kHz)
10 = 语音模式 2 (fc = 130Hz at fs = 8kHz)
11 = 语音模式 3 (fc = 267Hz at fs = 8kHz)
  
12AIF2ADCL_HPF0AIF2ADC(左)输出路径 数字 HPF 启用
0 = Disabled
1 = Enabled
  
11AIF2ADCR_HPF0AIF2ADC(右)输出路径 数字 HPF 启用
0 = Disabled
1 = Enabled
  
R1312
(0520h)
AIF2 DAC
Filters (1)
AIF2 DAC滤波19AIF2DAC_MUT
E
1AIF2DAC 输入路径软静音控制
0 = 取消静音
1 = 静音
  
7AIF2DAC_MO
NO
0AIF2DAC 输入路径单声道混合控制
0 = Disabled
1 = Enabled
  
5AIF2DAC_MUT
ERATE
0AIF2DAC 输入路径软静音斜率
0 = 快速斜率(fs/2,最大斜坡时间是 10.7ms,在fs=48k)
1 = 慢速斜率(fs/32,最大斜坡时间是 171ms,在fs=48k)
(注意:斜坡速率随采样率而缩放。)
  
4AIF2DAC_UN
MUTE_RAMP
0AIF2DAC 输入路径取消静音斜率选择
0 = 禁用软静音 (AIF2DAC_MUTE=0) 将导致立即更改为AIF2DACL_VOL的音量和AIF2DACR_VOL设置
1 = 禁用软静音 (AIF2DAC_MUTE=0) 将导致DAC 音量逐渐增大到AIF2DACL_VOL和AIF2DACR_VOL设置
  
2:1AIF2DAC_DEE
MP[1:0]
00AIF2DAC 输入路径去强调控制
00 = 无重强调
01 = 32kHz 采样率
10 = 44.1kHz 采样率
11 = 48kHz 采样率
  
R1313
(0521h)
AIF2 DAC
Filters (2)
AIF2 DAC滤波213:9AIF2DAC_3D_
GAIN[4:0]
0_0000AIF2DAC 播放路径 3D 立体声深度
00000 = 关闭
00001 = 最小值 (-16dB)
...(0.915dB 步长)
11111 = 最大值 ( 11.5dB)
  
8AIF2DAC_3D_
ENA
0在 AIF2DAC 播放路径中启用 3D 立体声
0 = Disabled
1 = Enabled
  
R1344
(0540h)
AIF2 DRC
(1)
AIF2 录音设置115:11AIF2DRC_SIG
_DET_RMS[4:0
]
0_0000AIF2 DRC Signal Detect RMS Threshold.
This is the RMS signal level for signal detect to be
indicated when AIF2DRC_SIG_DET_MODE=0.
00000 = -30dB
00001 = -31.5dB
…. (1.5dB steps)
11110 = -75dB
11111 = -76.5dB
  
10:9AIF2DRC_SIG
_DET_PK[1:0]
00AIF2 DRC 信号检测峰值阈值。
这是峰值/RMS 比率,或 Crest 因子,当AIF2DRC_SIG_DET_MODE=1时信号检测。
00 = 12dB
01 = 18dB
10 = 24dB
11 = 30dB
  
8AIF2DRC_NG_
ENA
0AIF2 DRC 噪声门启用
0 = Disabled
1 = Enabled
  
7AIF2DRC_SIG
_DET_MODE
1AIF2 DRC 信号检测模式
0 = RMS 阈值模式
1 = 峰值阈值模式
  
6AIF2DRC_SIG
_DET
0AIF2 DRC 信号检测启用
0 = Disabled
1 = Enabled
  
5AIF2DRC_KNE
E2_OP_ENA
0AIF2 DRC KNEE2_OP启用
0 = Disabled
1 = Enabled
  
4AIF2DRC_QR1AIF2 DRC Quick-release 启用
0 = Disabled
1 = Enabled
  
3AIF2DRC_ANT
ICLIP
1AIF2 DRC Anti-clip 启用
0 = Disabled
1 = Enabled
  
2AIF2DAC_DRC
_ENA
0在 AIF2DAC 播放路径中启用 DRC
0 = Disabled
1 = Enabled
  
1AIF2ADCL_DR
C_ENA
0在 AIF2ADC(左)录音路径中启用 DRC
0 = Disabled
1 = Enabled
  
0AIF2ADCR_DR
C_ENA
0在 AIF2ADC(右)录音路径中启用 DRC
0 = Disabled
1 = Enabled
  
R1345
(0541h)
AIF2 DRC
(2)
AIF2 录音设置212:9AIF2DRC_ATK
[3:0]
0100AIF2 DRC 增益攻击率(秒/6dB)
0000 = 保留
0001 = 181us
0010 = 363us
0011 = 726us
0100 = 1.45ms
0101 = 2.9ms
0110 = 5.8ms
0111 = 11.6ms
1000 = 23.2ms
1001 = 46.4ms
1010 = 92.8ms
1011 = 185.6ms
1100-1111 = 保留
  
8:5AIF2DRC_DCY
[3:0]
0010AIF2 DRC 增益衰减率(秒/6dB)
0000 = 186ms
0001 = 372ms
0010 = 743ms
0011 = 1.49s
0100 = 2.97s
0101 = 5.94s
0110 = 11.89s
0111 = 23.78s
1000 = 47.56s
1001-1111 = 保留
  
4:2AIF2DRC_MIN
GAIN[2:0]
001AIF2 DRC Minimum gain to attenuate audio signals
000 = 0dB
001 = -12dB (default)
010 = -18dB
011 = -24dB
100 = -36dB
101 = 保留
11X = 保留
  
1:0AIF2DRC_MAX
GAIN[1:0]
01AIF2 DRC 增强音频信号最大增益 (dB)
00 = 12dB
01 = 18dB
10 = 24dB
11 = 36dB
  
R1346
(0542h)
AIF2 DRC
(3)
AIF2 录音设置315:12AIF2DRC_NG_
MINGAIN[3:0]
0000AIF2 DRC 当噪声门处于活动状态时衰减音频信号最小增益。
0000 = -36dB
0001 = -30dB
0010 = -24dB
0011 = -18dB
0100 = -12dB
0101 = -6dB
0110 = 0dB
0111 = 6dB
1000 = 12dB
1001 = 18dB
1010 = 24dB
1011 = 30dB
1100 = 36dB
1101 to 1111 = 保留
  
11:10AIF2DRC_NG_
EXP[1:0]
00AIF2 DRC 噪声门斜率
00 = 1(无扩展)
01 = 2
10 = 4
11 = 8
  
9:8AIF2DRC_QR_
THR[1:0]
00AIF2 DRC 快速释放阈值(峰值因子以 dB 表示)
00 = 12dB
01 = 18dB
10 = 24dB
11 = 30dB
  
7:6AIF2DRC_QR_
DCY[1:0]
00AIF2 DRC 快速释放衰减率(秒/6dB)
00 = 0.725ms
01 = 1.45ms
10 = 5.8ms
11 = 保留
  
5:3AIF2DRC_HI_
COMP[2:0]
000AIF2 DRC 压缩斜率(上部区域)
000 = 1(无压缩)
001 = 1/2
010 = 1/4
011 = 1/8
100 = 1/16
101 = 0
110 = 保留
111 = 保留
  
2:0AIF2DRC_LO_
COMP[2:0]
000AIF2 DRC 压缩斜率(较低区域)
000 = 1(无压缩)
001 = 1/2
010 = 1/4
011 = 1/8
100 = 0
101 = 保留
11X = 保留
  
R1347
(0543h)
AIF2 DRC
(4)
AIF2 录音设置410:5AIF2DRC_KNE
E_IP[5:0]
00_0000AIF2 DRC 输入信号电平位于压缩"阈值"处。
000000 = 0dB
000001 = -0.75dB
000010 = -1.5dB
… (-0.75dB 步进)
111100 = -45dB
111101 = 保留
11111X = 保留
  
4:0AIF2DRC_KNE
E_OP[4:0]
0_0000AIF2 DRC 输出信号在压缩"阈值"处。
00000 = 0dB
00001 = -0.75dB
00010 = -1.5dB
… (-0.75dB 步进)
11110 = -22.5dB
11111 = 保留
  
R1348
(0544h)
AIF2 DRC
(5)
AIF2 录音设置59:5AIF2DRC_KNE
E2_IP[4:0]
0_0000噪声门处的 AIF2 DRC 输入信号电平阈值"Knee2"。
00000 = -36dB
00001 = -37.5dB
00010 = -39dB
… (-1.5dB 步进)
11110 = -81dB
11111 = -82.5dB
仅适用于DRC_NG_ENA = 1。
  
4:0AIF2DRC_KNE
E2_OP[4:0]
0_0000噪声门阈值处的 AIF2 DRC 输出信号"Knee2"。
00000 = -30dB
00001 = -31.5dB
00010 = -33dB
… (-1.5dB 步进)
11110 = -75dB
11111 = -76.5dB
仅适用于DRC_KNEE2_OP_ENA = 1。
  
R1408
(0580h)
AIF2 EQ
Gains (1)
AIF2 EQ设置115:11AIF2DAC_EQ_
B1_GAIN[4:0]
0_1100AIF2 EQ 频段 1 增益  
10:6AIF2DAC_EQ_
B2_GAIN[4:0]
0_1100AIF2EQ 频段 2 增益  
5:1AIF2DAC_EQ_
B3_GAIN[4:0]
0_1100AIF2EQ 频段 3 增益  
0AIF2DAC_EQ_
ENA
0在 AIF2DAC 播放路径中启用 EQ
0 = Disabled
1 = Enabled
  
R1409 (0581h)AIF2 EQ
Gains (2)
AIF2 EQ设置215:11AIF2DAC_EQ_
B4_GAIN[4:0]
0_1100AIF2EQ 频段 4 增益  
10:6AIF2DAC_EQ_
B5_GAIN[4:0]
0_1100AIF2EQ 频段 5 增益  
R1410
(0582h)
AIF2 EQ
Band 1 A
AIF2 EQ频段1系数A15:0AIF2DAC_EQ_
B1_A[15:0]
0000_1111
_1100_101
0
EQ 频段 1 系数 A  
R1411
(0583h)
AIF2 EQ
Band 1 B
AIF2 EQ频段1系数B15:0AIF2DAC_EQ_
B1_B[15:0]
0000_0100
_0000_000
0
EQ 频段 1 系数 B  
R1412
(0584h)
AIF2 EQ
Band 1 PG
AIF2 EQ频段1系数PG15:0AIF2DAC_EQ_
B1_PG[15:0]
0000_0000
_1101_100
0
EQ 频段 1 系数 PG  
R1413
(0585h)
AIF2 EQ
Band 2 A
AIF2 EQ频段2系数A15:0AIF2DAC_EQ_
B2_A[15:0]
0001_1110
_1011_010
1
EQ 频段 2 系数 A  
R1414
(0586h)
AIF2 EQ
Band 2 B
AIF2 EQ频段2系数B15:0AIF2DAC_EQ_
B2_B[15:0]
1111_0001
_0100_010
1
EQ 频段 2 系数 B  
R1415
(0587h)
AIF2 EQ
Band 2 C
AIF2 EQ频段2系数C15:0AIF2DAC_EQ_
B2_C[15:0]
0000_1011
_0111_010
1
EQ 频段 2 系数 C  
R1416
(0588h)
AIF2 EQ
Band 2 PG
AIF2 EQ频段2系数PG15:0AIF2DAC_EQ_
B2_PG[15:0]
0000_0001
_1100_010
1
EQ 频段 2 系数 PG  
R1417
(0589h)
AIF2 EQ
Band 3 A
AIF2 EQ频段3系数A15:0AIF2DAC_EQ_
B3_A[15:0]
0001_1100
_0101_100
0
EQ 频段 3 系数 A  
R1418
(058Ah)
AIF2 EQ
Band 3 B
AIF2 EQ频段3系数B15:0AIF2DAC_EQ_
B3_B[15:0]
1111_0011
_0111_001
1
EQ 频段 3 系数 B  
R1419
(058Bh)
AIF2 EQ
Band 3 C
AIF2 EQ频段3系数C15:0AIF2DAC_EQ_
B3_C[15:0]
0000_1010
_0101_010
0
EQ 频段 3 系数 C  
R1420
(058Ch)
AIF2 EQ
Band 3 PG
AIF2 EQ频段3系数PG15:0AIF2DAC_EQ_
B3_PG[15:0]
0000_0101
_0101_100
0
EQ 频段 3 系数 PG  
R1421
(058Dh)
AIF2 EQ
Band 4 A
AIF2 EQ频段4系数A15:0AIF2DAC_EQ_
B4_A[15:0]
0001_0110
_1000_111
0
EQ 频段 4 系数 A  
R1422
(058Eh)
AIF2 EQ
Band 4 B
AIF2 EQ频段4系数B15:0AIF2DAC_EQ_
B4_B[15:0]
1111_1000
_0010_100
1
EQ 频段 4 系数 B  
R1423
(058Fh)
AIF2 EQ
Band 4 C
AIF2 EQ频段4系数C15:0AIF2DAC_EQ_
B4_C[15:0]
0000_0111
_1010_110
1
EQ 频段 4 系数 C  
R1424
(0590h)
AIF2 EQ
Band 4 PG
AIF2 EQ频段4系数PG15:0AIF2DAC_EQ_
B4_PG[15:0]
0001_0001
_0000_001
1
EQ 频段 4 系数 PG  
R1425
(0591h)
AIF2 EQ
Band 5 A
AIF2 EQ频段5系数A15:0AIF2DAC_EQ_
B5_A[15:0]
0000_0101
_0110_010
0
EQ 频段 5 系数 A  
R1426
(0592h)
AIF2 EQ
Band 5 B
AIF2 EQ频段5系数B15:0AIF2DAC_EQ_
B5_B[15:0]
0000_0101
_0101_100
1
EQ 频段 5 系数 B  
R1427
(0593h)
AIF2 EQ
Band 5 PG
AIF2 EQ频段5系数PG15:0AIF2DAC_EQ_
B5_PG[15:0]
0100_0000
_0000_000
0
EQ 频段 5 系数 PG  
R1536
(0600h)
DAC1 Mixer
Volumes
DAC1混音音量8:5ADC2_DAC1_
VOL[3:0]
0000 ST2侧音 到 DAC1L 和 DAC1R 音量(手机中用于抑制自己喇叭中听到自己说的话)
0000 = -36dB
0001 = -33dB
…. (3dB 步进)
1011 = -3dB
1100 = 0dB
侧音是通信术语,通常指在终端设备(例如电话机)中,发端信号经处理后,其中一部分回馈到自身接收电话的那部分信号。
在电话机中,原始话音通过送话器把声音信号转化为电信号,经通信线路一路传送到对方,一路回传到本方受话器,使讲话人能听到自己的声音,这就是侧音。
寄存器名称是混音,实际上设置的却是侧音抑制
 
3:0ADC1_DAC1_
VOL[3:0]
0000 ST1侧音 到 DAC1L 和 DAC1R 音量(手机中用于抑制自己喇叭中听到自己说的话)
0000 = -36dB
0001 = -33dB
…. (3dB 步进)
1011 = -3dB
1100 = 0dB
R1537
(0601h)
DAC1 Left
Mixer
Routing
DAC1左混音路由设置5ADC2_TO_DA
C1L
0将侧音 ST2 启用到 DAC1L
0 = Disabled
1 = Enabled
  
4ADC1_TO_DA
C1L
0将侧音 ST1 启用到 DAC1L
0 = Disabled
1 = Enabled
  
2AIF2DACL_TO
_DAC1L
0将 AIF2(左)启用到 DAC1L
0 = Disabled
1 = Enabled
  
1AIF1DAC2L_T
O_DAC1L
0将 AIF1(时隙 1,左侧)启用到 DAC1L
0 = Disabled
1 = Enabled
  
0AIF1DAC1L_T
O_DAC1L
0将 AIF1(时隙 0,左)启用到 DAC1L
0 = Disabled
1 = Enabled
  
R1538
(0602h)
DAC1 Right
Mixer
Routing
DAC1右混音路由设置5ADC2_TO_DA
C1R
0将侧音 ST2 启用到 DAC1R
0 = Disabled
1 = Enabled
  
4ADC1_TO_DA
C1R
0将侧音 ST1 启用到 DAC1R
0 = Disabled
1 = Enabled
  
2AIF2DACR_TO
_DAC1R
0将 AIF2(右侧)启用到 DAC1R
0 = Disabled
1 = Enabled
  
1AIF1DAC2R_T
O_DAC1R
0将 AIF1(时隙 1,右侧)启用到 DAC1R
0 = Disabled
1 = Enabled
  
0AIF1DAC1R_T
O_DAC1R
0将 AIF1(时隙 0,右侧)启用到 DAC1R
0 = Disabled
1 = Enabled
  
R1539
(0603h)
DAC2 Mixer
Volumes
DAC2混音音量8:5ADC2_DAC2_
VOL[3:0]
0000ST2侧音 到 DAC2L 和 DAC2R 音量(手机中用于抑制自己喇叭中听到自己说的话)
0000 = -36dB
0001 = -33dB
…. (3dB 步进)
1011 = -3dB
1100 = 0dB
 寄存器名称是混音,实际上设置的却是侧音抑制
3:0ADC1_DAC2_
VOL[3:0]
0000ST1侧音 到 DAC2L 和 DAC2R 音量(手机中用于抑制自己喇叭中听到自己说的话)
0000 = -36dB
0001 = -33dB
…. (3dB 步进)
1011 = -3dB
1100 = 0dB
R1540
(0604h)
DAC2 Left
Mixer
Routing
DAC2左混音路由设置5ADC2_TO_DA
C2L
0将侧音 ST2 启用到 DAC2L
0 = Disabled
1 = Enabled
  
4ADC1_TO_DA
C2L
0将侧音 ST1 启用到 DAC2L
0 = Disabled
1 = Enabled
  
2AIF2DACL_TO
_DAC2L
0将 AIF2(左)启用到 DAC2L
0 = Disabled
1 = Enabled
  
1AIF1DAC2L_T
O_DAC2L
0将 AIF1(时隙 1,左侧)启用到 DAC2L
0 = Disabled
1 = Enabled
  
0AIF1DAC1L_T
O_DAC2L
0将 AIF1(时隙 0,左)启用到 DAC2L
0 = Disabled
1 = Enabled
  
R1541
(0605h)
DAC2 Right
Mixer
Routing
DAC2右混音路由设置5ADC2_TO_DA
C2R
0将侧音 ST2 启用到 DAC2R
0 = Disabled
1 = Enabled
  
4ADC1_TO_DA
C2R
0将侧音 ST1 启用到 DAC2R
0 = Disabled
1 = Enabled
  
2AIF2DACR_TO
_DAC2R
0将 AIF2(右侧)启用到 DAC2R
0 = Disabled
1 = Enabled
  
1AIF1DAC2R_T
O_DAC2R
0将 AIF1(时隙 1,右侧)启用到 DAC2R
0 = Disabled
1 = Enabled
  
0AIF1DAC1R_T
O_DAC2R
0将 AIF1(时隙 0,右侧)启用到 DAC2R
0 = Disabled
1 = Enabled
  
R1542
(0606h)
AIF1 ADC1
Left Mixer
Routing
AIF1 ADC1左混音路由设置1ADC1L_TO_AI
F1ADC1L
0启用 ADCL / DMIC1(左)到 AIF1(时隙 0,左侧)输出
0 = Disabled
1 = Enabled
  
0AIF2DACL_TO
_AIF1ADC1L
0将 AIF2(左)启用到 AIF1(时隙 0,左)输出
0 = Disabled
1 = Enabled
  
R1543
(0607h)
AIF1 ADC1
Right Mixer
Routing
AIF1 ADC1右混音路由设置1ADC1R_TO_AI
F1ADC1R
0启用 ADCR / DMIC1(右)到 AIF1(时隙 0,右侧)输出
0 = Disabled
1 = Enabled
  
0AIF2DACR_TO
_AIF1ADC1R
0将 AIF2(右)启用到 AIF1(时隙 0,右侧)输出
0 = Disabled
1 = Enabled
  
R1544
(0608h)
AIF1 ADC2
Left Mixer
Routing
AIF1 ADC2左混音路由设置1ADC2L_TO_AI
F1ADC2L
0将 DMIC2(左)启用到 AIF1(时隙 1,左侧)输出
0 = Disabled
1 = Enabled
  
0AIF2DACL_TO
_AIF1ADC2L
0将 AIF2(左)启用到 AIF1(时隙 1,左侧)输出
0 = Disabled
1 = Enabled
  
R1545
(0609h)
AIF1 ADC2
Right mixer
Routing
AIF1 ADC2右混音路由设置1ADC2R_TO_AI
F1ADC2R
0将 DMIC2(右侧)启用到 AIF1(时隙 1,右侧)输出
0 = Disabled
1 = Enabled
  
0AIF2DACR_TO
_AIF1ADC2R
0将 AIF2(右侧)启用到 AIF1(时隙 1,右侧)输出
0 = Disabled
1 = Enabled
  
R1552
(0610h)
DAC1 Left
Volume
DAC1左音量9DAC1L_MUTE1DAC1L 软静音控制
0 = DAC 取消静音
1 = DAC 静音
  
8DAC1_VU0DAC1L 和 DAC1R 音量更新,向此位写入 1 将导致 DAC1L 和 DAC1R 音量同时更新  
7:0DAC1L_VOL[7:
0]
1100_0000DAC1L 数字音量
00h = 静音
01h = -71.625dB
… (0.375dB 步进)
C0h = 0dB
FFh = 0dB
  
R1553
(0611h)
DAC1 Right
Volume
DAC1右音量9DAC1R_MUTE1DAC1R 软静音控制
0 = DAC 取消静音
1 = DAC 静音
  
8DAC1_VU0DAC1L 和 DAC1R 音量更新,向此位写入 1 将导致 DAC1L 和 DAC1R 音量同时更新  
7:0DAC1R_VOL[7
:0]
1100_0000DAC1R 数字音量
00h = 静音
01h = -71.625dB
… (0.375dB 步进)
C0h = 0dB
FFh = 0dB
  
R1554
(0612h)
DAC2 Left
Volume
DAC2左音量9DAC2L_MUTE1DAC2L 软静音控制
0 = DAC 取消静音
1 = DAC 静音
  
8DAC2_VU0DAC2L 和 DAC2R 音量更新,向此位写入 1 将导致 DAC2L 和 DAC2R 音量同时更新  
7:0DAC2L_VOL[7:
0]
1100_0000DAC2L 数字音量
00h = 静音
01h = -71.625dB
… (0.375dB 步进)
C0h = 0dB
FFh = 0dB
  
R1555
(0613h)
DAC2 Right
Volume
DAC2右音量9DAC2R_MUTE1DAC2R 软静音控制
0 = DAC 取消静音
1 = DAC 静音
  
8DAC2_VU0DAC2R 和 DAC2R 音量更新,向此位写入 1 将导致 DAC2R 和 DAC2R 音量同时更新  
7:0DAC2R_VOL[7
:0]
1100_0000DAC2R 数字音量
00h = 静音
01h = -71.625dB
… (0.375dB 音量)
C0h = 0dB
FFh = 0dB
  
R1556
(0614h)
DAC
Softmute
DAC软件静音设置1DAC_SOFTMU
TEMODE
0DAC 取消静音斜率选择
0 = 禁用软静音 (DAC[1/2][L/R]_MUTE=0) 将导致 DAC 音量立即更改为DAC[1/2][L/R]_VOL设置
1 = 禁用软静音  (DAC[1/2][L/R]_MUTE=0)将导致 DAC 音量逐渐增大到DAC[1/2][L/R]_VOL 设置
  
0DAC_MUTERA
TE
0DAC 软静音斜坡速率
0 = 快速斜坡(fs\/2,最大斜坡时间是 10.7ms,在fs=48k)
1 = 慢速斜坡(fs\/32,最大斜坡时间是 171ms,在fs=48k)
(注意:斜坡速率随采样率而缩放。)
  
R1568
(0620h)
Oversampling过采样设置1ADC_OSR1280ADC 过采样率选择
0 = 已禁用
1 = 已启用
对于 48kHz 采样速率,ADC_OSR128 = 1 时 ADC 过采样率为128fs。
当ADC_OSR128= 0时,ADC过采样速率为64fs。
  
0DAC_OSR1280DAC 过采样率选择
0 = 已禁用
1 = 已启用
对于 48kHz 采样速率,DAC_OSR128 = 1 时,DAC 过采样率为128fs。
当DAC_OSR128 = 0时,DAC过采样率为64fs时。
  
R1569 (0621h)Sidetone侧音设置9:7ST_HPF_CUT[2:0]000侧音 HPF 截止频率(相对于 44.1kHz 采样率)
000 = 2.7kHz
001 = 1.35kHz
010 = 675Hz
011 = 370Hz
100 = 180Hz
101 = 90Hz
110 = 45Hz
111 = 保留
注意 - 数字混合截止(SYSCLK) 时钟速率。引用的数字适用于 44.1kHz 采样速率。
  
6ST_HPF0数字侧音 HPF 选择
0 = Disabled
1 = Enabled
  
1ST2_SEL0选择侧音 ST2 路径的源
0 = DMIC2 (左)
1 = DMIC2 (右)
  
0ST1_SEL0选择侧音 ST1 路径的源
0 = ADCL / DMIC1 (左)
1 = ADCR / DMIC1 (右)
  
R1792
(0700h)
GPIO 1通用GPIO1设置15GP1_DIR1GPIO1 引脚方向
0 = Output
1 = Input
  
14GP1_PU0GPIO1 上拉启用
0 = Disabled
1 = Enabled
  
13GP1_PD1GPIO1 下拉启用
0 = Disabled
1 = Enabled
  
10GP1_POL0GPIO1 极性选择
0 = 非反转(活动高)
1 = 反转(活动低)
  
9GP1_OP_CFG0GPIO1 输出配置
0 = CMOS
1 = 开漏
  
8GP1_DB1GPIO1 输入消抖
0 = Disabled
1 = Enabled
  
6GP1_LVL0GPIO1 电平。写入此位以设置 GPIO 输出。
从此位读取 GPIO 输入电平。
设置GP1_POL时,GP1_DIR = 1 (GPIO)输入),GP1_LVL寄存器包含相反的外部引脚的逻辑电平。
  
4:0GP1_FN[4:0]0_0000GPIO1 功能.
00000 = ADCLRCLK1
00001 = 逻辑电平 input / output(普通IO)
00010 = SDOUT
00011 = IRQ
00100 = 温度传感器状态
00101 = MICBIAS1 电流检测
00110 = MICBIAS1 短路检测
00111 = MICBIAS2 电流检测
01000 = MICBIAS2 短路检测
01001 = FLL1 Lock
01010 = FLL2 Lock
01011 = SRC1 Lock
01100 = SRC2 Lock
01101 = DRC1 (AIF1) 活动检测
01110 = DRC2 (AIF1) 活动检测
01111 = DRC3 (AIF2) 活动检测
10000 = 写入音序器状态
10001 = FIFO错误指示
10010 = OPCLK
10011 - 11111 = 保留
  
R1793
(0701h)
GPIO 2通用GPIO2设置14GP2_PU0GPIO2 上拉启用
0 = Disabled
1 = Enabled
  
13GP2_PD1GPIO2 下拉启用
0 = Disabled
1 = Enabled
  
10GP2_POL0GPIO2 极性选择
0 = 非反转(活动高)
1 = 反转(活动低)
  
9GP2_OP_CFG0GPIO2 输出配置
0 = CMOS
1 = 开漏
  
6GP2_LVL0GPIO2 电平。从此位读取 GPIO 输入电平。
设置GP2_POL时,GP2_DIR = 1 (GPIO输入),GP2_LVL寄存器包含相反的外部引脚的逻辑电平。
  
4:0GP2_FN[4:0]0_0001GPIO2 功能.
00000 = MCLK2
00001 = 逻辑电平输入
  
R1794
(0702h)
GPIO 3通用GPIO3设置15GP3_DIR1GPIO3 引脚方向
0 = 输出
1 = 输入
  
14GP3_PU0GPIO3 上拉启用
0 = Disabled
1 = Enabled
  
13GP3_PD1GPIO3 下拉启用
0 = Disabled
1 = Enabled
  
10GP3_POL0GPIO3 极性选择
0 = 非反转(活动高)
1 = 反转(活动低)
  
9GP3_OP_CFG0GPIO3 输出配置
0 = CMOS
1 = 开漏
  
8GP3_DB1GPIO3 输入消抖
0 = Disabled
1 = Enabled
  
6GP3_LVL0GPIO3 电平。写入此位以设置 GPIO 输出。
从此位读取 GPIO 输入电平。设置GP3_POL时,GP3_DIR = 1 (GPIO输入),GP3_LVL寄存器包含相反的外部引脚的逻辑电平。
  
4:0GP3_FN[4:0]0_0001GPIO3 功能.
00000 = BCLK2
00001 = 逻辑电平输入输出(通用IO)
00010 = SDOUT
00011 = IRQ
00100 = 温度传感器状态
00101 = MICBIAS1 电流检测
00110 = MICBIAS1 短路检测
00111 = MICBIAS2 电流检测
01000 = MICBIAS2 短路检测
01001 = FLL1 Lock
01010 = FLL2 Lock
01011 = SRC1 Lock
01100 = SRC2 Lock
01101 = DRC1 (AIF1) 活动检测
01110 = DRC2 (AIF1) 活动检测
01111 = DRC3 (AIF2) 活动检测
10000 = 写入音序器状态
10001 = FIFO错误指示
10010 = OPCLK
10011 - 11111 = 保留
  
R1795
(0703h)
GPIO 4通用GPIO4设置15GP4_DIR1GPIO4 引脚方向
0 = 输出
1 = 输入
  
14GP4_PU0GPIO4 上拉启用
0 = Disabled
1 = Enabled
  
13GP4_PD1GPIO4 下拉启用
0 = Disabled
1 = Enabled
  
10GP4_POL0GPIO4 极性选择
0 = 非反转(活动高)
1 = 反转(活动低)
  
9GP4_OP_CFG0GPIO4 输出配置
0 = CMOS
1 = 开漏
  
8GP4_DB1GPIO4 输入消抖
0 = Disabled
1 = Enabled
  
6GP4_LVL0GPIO4 电平。写入此位以设置 GPIO 输出。
从此位读取 GPIO 输入电平。设置GP4_POL时,GP4_DIR = 1 (GPIO输入),GP4_LVL寄存器包含相反的外部引脚的逻辑电平。
  
4:0GP4_FN[4:0]0_0001GPIO4 功能.
00000 = DACLRCLK2
00001 = 逻辑电平输入输出(通用IO)
00010 = SDOUT
00011 = IRQ
00100 = 温度传感器状态
00101 = MICBIAS1 电流检测
00110 = MICBIAS1 短路检测
00111 = MICBIAS2 电流检测
01000 = MICBIAS2 短路检测
01001 = FLL1 Lock
01010 = FLL2 Lock
01011 = SRC1 Lock
01100 = SRC2 Lock
01101 = DRC1 (AIF1) 活动检测
01110 = DRC2 (AIF1) 活动检测
01111 = DRC3 (AIF2) 活动检测
10000 = 写入音序器状态
10001 = FIFO错误指示
10010 = OPCLK
10011 - 11111 = 保留
  
R1796
(0704h)
GPIO 5通用GPIO5设置15GP5_DIR1GPIO5 Pin Direction
0 = Output
1 = Input
  
14GP5_PU0GPIO5 上拉启用
0 = Disabled
1 = Enabled
  
13GP5_PD1GPIO5 下拉启用
0 = Disabled
1 = Enabled
  
10GP5_POL0GPIO5 极性选择
0 = 非反转(活动高)
1 = 反转(活动低)
  
9GP5_OP_CFG0GPIO5 输出配置
0 = CMOS
1 = 开漏
  
8GP5_DB1GPIO5 输入消抖
0 = Disabled
1 = Enabled
  
6GP5_LVL0GPIO5 电平。写入此位以设置 GPIO 输出。从此位读取 GPIO 输入电平。设置GP5_POL时,GP5_DIR = (GPIO输入),GP5_LVL寄存器包含相反的外部引脚的逻辑电平。  
4:0GP5_FN[4:0]0_0001GPIO5 功能.
00000 = DACDAT2
00001 = 逻辑电平输入输出(通用IO)
00010 = SDOUT
00011 = IRQ
00100 = 温度传感器状态
00101 = MICBIAS1 电流检测
00110 = MICBIAS1 短路检测
00111 = MICBIAS2 电流检测
01000 = MICBIAS2 短路检测
01001 = FLL1 Lock
01010 = FLL2 Lock
01011 = SRC1 Lock
01100 = SRC2 Lock
01101 = DRC1 (AIF1) 活动检测
01110 = DRC2 (AIF1) 活动检测
01111 = DRC3 (AIF2) 活动检测
10000 = 写入音序器状态
10001 = FIFO错误指示
10010 = OPCLK
10011 - 11111 = 保留
  
R1797
(0705h)
GPIO 6通用GPIO6设置15GP6_DIR1GPIO6 引脚方向
0 = 输出
1 = 输入
  
14GP6_PU0GPIO6 上拉启用
0 = Disabled
1 = Enabled
  
13GP6_PD1GPIO6 下拉启用
0 = Disabled
1 = Enabled
  
10GP6_POL0GPIO6 极性选择
0 = 非反转(活动高)
1 = 反转(活动低)
  
9GP6_OP_CFG0GPIO6 输出配置
0 = CMOS
1 = 开漏
  
8GP6_DB1GPIO6 输入消抖
0 = Disabled
1 = Enabled
  
6GP6_LVL0GPIO6 电平。写入此位以设置 GPIO 输出。从此位读取 GPIO 输入电平。设置GP6_POL时,GP6_DIR = 1 (GPIO)输入),GP6_LVL寄存器包含相反的外部引脚的逻辑电平。  
4:0GP6_FN[4:0]0_0001GPIO6 功能.
00000 = ADCLRCLK2
00001 = 逻辑电平输入输出(通用IO)
00010 = SDOUT
00011 = IRQ
00100 = 温度传感器状态
00101 = MICBIAS1 电流检测
00110 = MICBIAS1 短路检测
00111 = MICBIAS2 电流检测
01000 = MICBIAS2 短路检测
01001 = FLL1 Lock
01010 = FLL2 Lock
01011 = SRC1 Lock
01100 = SRC2 Lock
01101 = DRC1 (AIF1) 活动检测
01110 = DRC2 (AIF1) 活动检测
01111 = DRC3 (AIF2) 活动检测
10000 = 写入音序器状态
10001 = FIFO错误指示
10010 = OPCLK
10011 - 11111 = 保留
  
R1798
(0706h)
GPIO 7通用GPIO7设置15GP7_DIR1GPIO7 Pin Direction
0 = Output
1 = Input
  
14GP7_PU0GPIO7 上拉启用
0 = Disabled
1 = Enabled
  
13GP7_PD1GPIO7 下拉启用
0 = Disabled
1 = Enabled
  
10GP7_POL0GPIO7 极性选择
0 = 非反转(活动高)
1 = 反转(活动低)
  
9GP7_OP_CFG0GPIO7 输出配置
0 = CMOS
1 = 开漏
  
8GP7_DB1GPIO7 输入消抖
0 = Disabled
1 = Enabled
  
6GP7_LVL0GPIO7 电平。写入此位以设置 GPIO 输出。从此位读取 GPIO 输入电平。设置GP7_POL时,GP7_DIR = 1 (GPIO)输入),GP7_LVL寄存器包含相反的外部引脚的逻辑电平。  
4:0GP7_FN[4:0]0_0001GPIO7 功能.
00000 = ADCDAT2
00001 = 逻辑电平输入输出(通用IO)
00010 = SDOUT
00011 = IRQ
00100 = 温度传感器状态
00101 = MICBIAS1 电流检测
00110 = MICBIAS1 短路检测
00111 = MICBIAS2 电流检测
01000 = MICBIAS2 短路检测
01001 = FLL1 Lock
01010 = FLL2 Lock
01011 = SRC1 Lock
01100 = SRC2 Lock
01101 = DRC1 (AIF1) 活动检测
01110 = DRC2 (AIF1) 活动检测
01111 = DRC3 (AIF2) 活动检测
10000 = 写入音序器状态
10001 = FIFO错误指示
10010 = OPCLK
10011 - 11111 = 保留
  
R1799
(0707h)
GPIO 8通用GPIO8设置15GP8_DIR1GPIO8 引脚方向
0 = Output
1 = Input
  
14GP8_PU0GPIO8 上拉启用
0 = Disabled
1 = Enabled
  
13GP8_PD1GPIO8 下拉启用
0 = Disabled
1 = Enabled
  
10GP8_POL0GPIO8 极性选择
0 = 非反转(活动高)
1 = 反转(活动低)
  
9GP8_OP_CFG0GPIO8 输出配置
0 = CMOS
1 = 开漏
  
8GP8_DB1GPIO8 输入消抖
0 = Disabled
1 = Enabled
  
6GP8_LVL0GPIO8 电平。写入此位以设置 GPIO 输出。从此位读取 GPIO 输入电平。设置GP8_POL时,GP8_DIR = 1 (GPIO输入),GP8_LVL寄存器包含相反的外部引脚的逻辑电平。  
4:0GP8_FN[4:0]0_0001GPIO8 功能.
00000 = DACDAT3
00001 = 逻辑电平输入输出(通用IO)
00010 = SDOUT
00011 = IRQ
00100 = 温度传感器状态
00101 = MICBIAS1 电流检测
00110 = MICBIAS1 短路检测
00111 = MICBIAS2 电流检测
01000 = MICBIAS2 短路检测
01001 = FLL1 Lock
01010 = FLL2 Lock
01011 = SRC1 Lock
01100 = SRC2 Lock
01101 = DRC1 (AIF1) 活动检测
01110 = DRC2 (AIF1) 活动检测
01111 = DRC3 (AIF2) 活动检测
10000 = 写入音序器状态
10001 = FIFO错误指示
10010 = OPCLK
10011 - 11111 = 保留
  
R1800
(0708h)
GPIO 9通用GPIO9设置15GP9_DIR1GPIO9 引脚方向
0 = 输出
1 = 输入
  
14GP9_PU0GPIO9 Pull-Up Enable
0 = Disabled
1 = Enabled
  
13GP9_PD1GPIO9 Pull-Down Enable
0 = Disabled
1 = Enabled
  
10GP9_POL0GPIO9极性 Select
0 = Non-inverted (Active High)
1 = Inverted (Active Low)
  
9GP9_OP_CFG0GPIO9 Output Configuration
0 = CMOS
1 = 开漏
  
8GP9_DB1GPIO9 输入消抖
0 = Disabled
1 = Enabled
  
6GP9_LVL0GPIO9 电平。写入此位以设置 GPIO 输出。从此位读取 GPIO 输入电平。设置GP9_POL时,GP9_DIR = 1 (GPIO输入),GP9_LVL寄存器包含相反的外部引脚的逻辑电平。  
4:0GP9_FN[4:0]0_0001GPIO9 功能.
00000 = ADCDAT3
00001 = 逻辑电平输入输出(通用IO)
00010 = SDOUT
00011 = IRQ
00100 = 温度传感器状态
00101 = MICBIAS1 电流检测
00110 = MICBIAS1 短路检测
00111 = MICBIAS2 电流检测
01000 = MICBIAS2 短路检测
01001 = FLL1 Lock
01010 = FLL2 Lock
01011 = SRC1 Lock
01100 = SRC2 Lock
01101 = DRC1 (AIF1) 活动检测
01110 = DRC2 (AIF1) 活动检测
01111 = DRC3 (AIF2) 活动检测
10000 = 写入音序器状态
10001 = FIFO错误指示
10010 = OPCLK
10011 - 11111 = 保留
  
R1801
(0709h)
GPIO 10通用GPIO10设置15GP10_DIR1GPIO10 引脚方向
0 = 输出
1 = 输入
  
14GP10_PU0GPIO10 上拉启用
0 = Disabled
1 = Enabled
  
13GP10_PD1GPIO10 Pull-Down Enable
0 = Disabled
1 = Enabled
  
10GP10_POL0GPIO10极性 Select
0 = Non-inverted (Active High)
1 = Inverted (Active Low)
  
9GP10_OP_CF
G
0GPIO10 Output Configuration
0 = CMOS
1 = 开漏
  
8GP10_DB1GPIO10 输入消抖
0 = Disabled
1 = Enabled
  
6GP10_LVL0GPIO10 电平。写入此位以设置 GPIO 输出。从此位读取 GPIO 输入电平。设置GP10_POL时,GP10_DIR = 1 (GPIO)输入),GP10_LVL寄存器包含相反的外部引脚的逻辑电平。  
4:0GP10_FN[4:0]0_0001GPIO10 功能.
00000 = LRCLK3
00001 = 逻辑电平输入输出(通用IO)
00010 = SDOUT
00011 = IRQ
00100 = 温度传感器状态
00101 = MICBIAS1 电流检测
00110 = MICBIAS1 短路检测
00111 = MICBIAS2 电流检测
01000 = MICBIAS2 短路检测
01001 = FLL1 Lock
01010 = FLL2 Lock
01011 = SRC1 Lock
01100 = SRC2 Lock
01101 = DRC1 (AIF1) 活动检测
01110 = DRC2 (AIF1) 活动检测
01111 = DRC3 (AIF2) 活动检测
10000 = 写入音序器状态
10001 = FIFO错误指示
10010 = OPCLK
10011 - 11111 = 保留
  
R1802
(070Ah)
GPIO 11通用GPIO11设置15GP11_DIR1GPIO11 引脚方向
0 = 输出
1 = 输入
  
14GP11_PU0GPIO11 上拉启用
0 = Disabled
1 = Enabled
  
13GP11_PD1GPIO11 下拉启用
0 = Disabled
1 = Enabled
  
10GP11_POL0GPIO11 极性选择
0 = 非反转(活动高)
1 = 反转(活动低)
  
9GP11_OP_CF
G
0GPIO11 输出配置
0 = CMOS
1 = 开漏
  
8GP11_DB1GPIO11 输入消抖
0 = Disabled
1 = Enabled
  
6GP11_LVL0GPIO11 电平。写入此位以设置 GPIO 输出。从此位读取 GPIO 输入电平。设置GP11_POL时,GP11_DIR = 1 (GPIO输入),GP11_LVL寄存器包含相反的外部引脚的逻辑电平。  
4:0GP11_FN[4:0]0_0001GPIO11 功能.
00000 = BCLK3
00001 = 逻辑电平输入输出(通用IO)
00010 = SDOUT
00011 = IRQ
00100 = 温度传感器状态
00101 = MICBIAS1 电流检测
00110 = MICBIAS1 短路检测
00111 = MICBIAS2 电流检测
01000 = MICBIAS2 短路检测
01001 = FLL1 Lock
01010 = FLL2 Lock
01011 = SRC1 Lock
01100 = SRC2 Lock
01101 = DRC1 (AIF1) 活动检测
01110 = DRC2 (AIF1) 活动检测
01111 = DRC3 (AIF2) 活动检测
10000 = 写入音序器状态
10001 = FIFO错误指示
10010 = OPCLK
10011 - 11111 = 保留
  
R1824
(0720h)
Digital Pulls上下拉电阻设置11DMICDAT2_P
U
0DMICDAT2 上拉启用
0 = Disabled
1 = Enabled
  
10DMICDAT2_P
D
0DMICDAT2 下拉启用
0 = Disabled
1 = Enabled
  
9DMICDAT1_P
U
0DMICDAT1 上拉启用
0 = Disabled
1 = Enabled
  
8DMICDAT1_P
D
0DMICDAT1 下拉启用
0 = Disabled
1 = Enabled
  
7MCLK1_PU0MCLK1 上拉电阻器启用
0 = 上拉已禁用
1 = 上拉已启用
  
6MCLK1_PD0MCLK1 下拉电阻器启用
0 = 下拉禁用
1 = 已启用下拉
  
5DACDAT1_PU0DACDAT1 上拉电阻启用
0 = 上拉已禁用
1 = 上拉已启用
  
4DACDAT1_PD0DACDAT1 下拉电阻启用
0 = 下拉禁用
1 = 已启用下拉
  
3DACLRCLK1_
PU
0LRCLK1 上拉电阻启用
0 = 上拉已禁用
1 = 上拉已启用
  
2DACLRCLK1_
PD
0LRCLK1 下拉电阻启用
0 = 下拉禁用
1 = 已启用下拉
  
1BCLK1_PU0BCLK1 上拉电阻启用
0 = 上拉已禁用
1 = 上拉已启用
  
0BCLK1_PD0BCLK1 下拉电阻启用
0 = 下拉禁用
1 = 已启用下拉
  
R1840
(0730h)
Interrupt
Status 1
中断状态110GP11_EINT0GPIO11 中断状态
0 = GPIO11 未设置中断
1 = GPIO11 中断已经设置
注意:写入"1"时清除。
  
9GP10_EINT0GPIO10 中断状态
0 = GPIO10 未设置中断
1 = GPIO10 中断已经设置
注意:写入"1"时清除。
  
8GP9_EINT0GPIO9 中断状态
0 = GPIO9 未设置中断
1 = GPIO9 中断已经设置
注意:写入"1"时清除。
  
7GP8_EINT0GPIO8 中断状态
0 = GPIO8 未设置中断
1 = GPIO8 中断已经设置
注意:写入"1"时清除。
  
6GP7_EINT0GPIO7 中断状态
0 = GPIO7 未设置中断
1 = GPIO7 中断已经设置
注意:写入"1"时清除。
  
5GP6_EINT0GPIO6 中断状态
0 = GPIO6 未设置中断
1 = GPIO6 中断已经设置
注意:写入"1"时清除。
  
4GP5_EINT0GPIO5 中断状态
0 = GPIO5 未设置中断
1 = GPIO5 中断已经设置
注意:写入"1"时清除。
  
3GP4_EINT0
GPIO4 中断状态
0 = GPIO4 未设置中断
1 = GPIO4 中断已经设置
注意:写入"1"时清除。
  
2GP3_EINT0GPIO3 中断状态
0 = GPIO3 未设置中断
1 = GPIO3 中断已经设置
注意:写入"1"时清除。
  
1GP2_EINT0GPIO2 中断状态
0 = GPIO2 未设置中断
1 = GPIO2 中断已经设置
注意:写入"1"时清除。
  
0GP1_EINT0GPIO1 中断状态
0 = GPIO1 未设置中断
1 = GPIO1 中断已经设置
注意:写入"1"时清除。
  
R1841
(0731h)
Interrupt
Status 2
中断状态213WSEQ_DONE
_EINT
0写入序列器 IRQ 状态
0 = 写入序列器 IRQ 未设置
1 = 写入序列器 IRQ 已设置
注意:写入"1"时清除。
  
12FIFOS_ERR_E
INT
0数字核心 FIFO 错误 IRQ 状态
0 = 未设置 FIFO 错误 IRQ
1 = FIFO 错误 IRQ 设置
注意:写入"1"时清除。
  
11DRC3_ACTDE
T_EINT
0DRC3 活动检测 IRQ 状态
0 = DRC3 活动检测 IRQ 未设置
1 = DRC3 活动检测 IRQ 已设置
注意:写入"1"时清除。
  
10DRC2_ACTDE
T_EINT
0DRC2 活动检测 IRQ status
0 = DRC2 活动检测 IRQ 未设置
1 = DRC2 活动检测 IRQ 已设置
注意:写入"1"时清除。
  
9DRC1_ACTDE
T_EINT
0DRC1 活动检测 IRQ 状态
0 = DRC1 活动检测 IRQ 未设置
1 = DRC1 活动检测 IRQ 已设置
注意:写入"1"时清除。
  
8SRC2_LOCK_
EINT
0SRC2 锁定 IRQ 状态
0 = 未设置 SRC2 锁定 IRQ
1 = SRC2 锁定 IRQ 设置
注意:写入"1"时清除。
  
7SRC1_LOCK_
EINT
0SRC1 锁定 IRQ 状态
0 = 未设置 SRC1 锁定 IRQ
1 = SRC1 锁定 IRQ 设置
注意:写入"1"时清除。
  
6FLL2_LOCK_E
INT
0FLL2 锁定 IRQ 状态
0 = 未设置 FLL2 锁定 IRQ
1 = FLL2 锁定 IRQ 设置
注意:写入"1"时清除。
  
5FLL1_LOCK_E
INT
0FLL1 锁定 IRQ 状态
0 = FLL1 锁定 IRQ 未设置
1 = FLL1 锁定 IRQ 设置
注意:写入"1"时清除。
  
4MIC2_SHRT_E
INT
0MICBIAS2 IRQ 状态
0 = 短路电流 IRQ 未设置
1 = 短路电流 IRQ 设置
注意:写入"1"时清除。
  
3MIC2_DET_EI
NT
0MICBIAS2 电流检测 IRQ 状态
0 = 电流检测 IRQ 未设置
1 = 电流检测 IRQ 设置
注意:写入"1"时清除。
  
2MIC1_SHRT_E
INT
0MICBIAS1 短路检测 IRQ 状态
0 = 短路电流 IRQ 未设置
1 = 短路电流 IRQ 设置
注意:写入"1"时清除。
  
1MIC1_DET_EI
NT
0MICBIAS1 电流检测 IRQ 状态
0 = 电流检测 IRQ 未设置
1 = 电流检测 IRQ 设置
注意:写入"1"时清除。
  
0TEMP_SHUT_
EINT
0关机温度(温度保护) IRQ 状态
0 = 未设置关机温度 IRQ
1 = 关机温度 IRQ 设置
注意:写入"1"时清除。
  
R1848
(0738h)
Interrupt
Status 1
Mask
中断1屏蔽设置10IM_GP11_EIN
T
1GPIO11 的中断屏蔽
0 = 未屏蔽
1 = 屏蔽
  
9IM_GP10_EIN
T
1GPIO10 的中断屏蔽
0 = 未屏蔽
1 = 屏蔽
  
8IM_GP9_EINT1GPIO9 的中断屏蔽
0 = 未屏蔽
1 = 屏蔽
  
7IM_GP8_EINT1GPIO8 的中断屏蔽
0 = 未屏蔽
1 = 屏蔽
  
6IM_GP7_EINT1GPIO7 的中断屏蔽
0 = 未屏蔽
1 = 屏蔽
  
5IM_GP6_EINT1GPIO6 的中断屏蔽
0 = 未屏蔽
1 = 屏蔽
  
4IM_GP5_EINT1GPIO5 的中断屏蔽
0 = 未屏蔽
1 = 屏蔽
  
3IM_GP4_EINT1GPIO4 的中断屏蔽
0 = 未屏蔽
1 = 屏蔽
  
2IM_GP3_EINT1GPIO3 的中断屏蔽
0 = 未屏蔽
1 = 屏蔽
  
1IM_GP2_EINT1GPIO2 的中断屏蔽
0 = 未屏蔽
1 = 屏蔽
  
0IM_GP1_EINT1GPIO1 的中断屏蔽
0 = 未屏蔽
1 = 屏蔽
  
R1849
(0739h)
Interrupt
Status 2
Mask
中断2屏蔽设置13IM_WSEQ_DO
NE_EINT
1写入序列器的中断屏蔽
0 = 未屏蔽
1 = 屏蔽
  
12IM_FIFOS_ER
R_EINT
1数字核心 FIFO 错误的中断屏蔽
0 = 未屏蔽
1 = 屏蔽
  
11IM_DRC3_ACT
DET_EINT
1DRC3 活动检测中断屏蔽
0 = 未屏蔽
1 = 屏蔽
  
10IM_DRC2_ACT
DET_EINT
1 DRC2 活动检测中断屏蔽
0 = 未屏蔽
1 = 屏蔽
  
9IM_DRC1_ACT
DET_EINT
1DRC1 活动检测中断屏蔽
0 = 未屏蔽
1 = 屏蔽
  
8IM_SRC2_LOC
K_EINT
1用于 SRC2 锁定的中断屏蔽
0 = 未屏蔽
1 = 屏蔽
  
7IM_SRC1_LOC
K_EINT
1用于 SRC1 锁定的中断屏蔽
0 = 未屏蔽
1 = 屏蔽
  
6IM_FLL2_LOC
K_EINT
1用于 FLL2 锁定的中断屏蔽
0 = 未屏蔽
1 = 屏蔽
  
5IM_FLL1_LOC
K_EINT
1用于 FLL1 锁定的中断屏蔽
0 = 未屏蔽
1 = 屏蔽
  
4IM_MIC2_SHR
T_EINT
1MICBIAS2 短路检测中断屏蔽
0 = 未屏蔽
1 = 屏蔽
  
3IM_MIC2_DET
_EINT
1MICBIAS2 电流检测中断屏蔽
0 = 未屏蔽
1 = 屏蔽
  
2IM_MIC1_SHR
T_EINT
1MICBIAS1 短路检测中断屏蔽
0 = 未屏蔽
1 = 屏蔽
  
1IM_MIC1_DET
_EINT
1MICBIAS1 电流检测中断屏蔽
0 = 未屏蔽
1 = 屏蔽
  
0IM_TEMP_SH
UT_EINT
1关机温度的中断掩膜
0 = 未屏蔽
1 = 屏蔽
  
R1856
(0740h)
Interrupt
Control
中断控制0IRQ_POL0中断 (IRQ) 极性
0 = 活动高
1 = 活动低
  
R1864
(0748h)
IRQ Debounce中断IRQ消抖4MIC2_SHRT_D
B
1MICBIAS2 短路检测消抖
0 = disabled
1 = enabled
  
3MIC2_DET_DB1MICBIAS2 电流检测消抖
0 = disabled
1 = enabled
  
2MIC1_SHRT_D
B
1MICBIAS1 短路检测消抖
0 = disabled
1 = enabled
  
1MIC1_DET_DB1MICBIAS1 电流检测消抖
0 = disabled
1 = enabled
  
0TEMP_SHUT_
DB
1热关机消抖(温度保护检测消抖)
0 = disabled
1 = enabled
  
R1865
(0749h)
IRQ Polarity中断IRQ信号极性设置13WSEQ_DONE
_POL
0写入序列器极性
0 = 活动高(当控制序列为忙)
1 = 活动低
  
12FIFOS_ERR_P
OL
0FIFO 误差极性
0 = 活动高(在 FIFO 错误条件下断言)
1 = 活动低
  
11DRC3_ACTDE
T_POL
0DRC3 活动检测极性
0 = 活动高(当 检测到 DRC3 活动)
1 = 活动低
  
10DRC2_ACTDE
T_POL
0DRC2 活动检测极性
0 = 活动高(当检测到 DRC2 活动)
1 = 活动低
  
9DRC1_ACTDE
T_POL
0DRC1 活动检测极性
0 = 活动高(检测到 DRC1 活动时断言)
1 = 活动低
  
8SRC2_LOCK_
POL
0SRC2 Lock极性
0 = 活动高(SRC2 锁定时断言)
1 = 活动低
  
7SRC1_LOCK_
POL
0SRC1 Lock极性
0 = 活动高(SRC1 锁定时断言)
1 = 活动低
  
6FLL2_LOCK_P
OL
0FLL2 Lock极性
0 = active high (asserted when FLL2 locked)
1 = active low
  
5FLL1_LOCK_P
OL
0FLL1 Lock极性
0 = 活动高(FLL1 锁定时断言)
1 = 活动低
  
4MIC2_SHRT_P
OL
0MICBIAS2 短路检测极性
0 = 活动高(超过MICD_SCTHR时断言)
1 = 活动低
  
3MIC2_DET_PO
L
0MICBIAS2 电流检测极性
0 = 活动高(超过MICD_THR时断言)
1 = 活动低
  
2MIC1_SHRT_P
OL
0MICBIAS1 Short Circuit极性
0 = 活动高(超过MICD_SCTHR时断言)
1 = 活动低
  
1MIC1_DET_PO
L
0MICBIAS1 电流检测极性
0 = 活动高(超过MICD_THR时断言)
1 = 活动低
  
0TEMP_SHUT_
POL
0热关闭(热保护)中断极性
0 = 活动高(当温度阈值超过时断言)
1 = 活动低
  
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

cp1300

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值