Quartus II 仿真

                                                   Quartus II 使用university program VWF仿真

1.File->new->university program VWF->OK打开仿真页面

2.edit->insert->insert node or bus或者直接双击左边空白地方弹出insert node or bus对话框。

3.node finder->list-> >> ->OK->OK

   

4.设置输入高低电平与波动周期,然后保存到pri.

5.simulation->Run Function simulation运行

 

Modelsim仿真

步骤:

1.确定安装的modelsim软件版本(modelsim_ase)

2.设置quartus软件和modelsim关联路径

ToolsOptionsEDA Tool Option->modelsim altera设置对应的仿真软件的路径(D:\altera\13.0\modelsim_ase\win32aloem) 

3.设计仿真激励:testbench

4.设置nativelink

AssignmentsSettingsSimulation->Compile test bench->Test Benche->new->file name添加编写好的激励文件(led_test_tb.v)。Tset bench name填写led_test_tb。

5.运行仿真

ToolsRun Simulation ToolRTL Simulation 理想仿真,Gate Level Simulation 实际仿真,激励与响应有延时。电机zoom full查看完整波形

6.查看内部的信号,在ModelSim ALYERA STAARTER EDITON窗口中

 

在WAVE窗口中restart->Run all。还可以选中信号右击->Group进行分组

7.ToolsRun Simulation ToolGate Level Simulation 实际仿真,激励与响应有延时,需要先processing -> start compilation

修改了文件后不需要关闭仿真编译后在开启,直接在ModelSim ALTERA界面的Library里选择修改了的文件右击->Recompile

 

在led_flash里定义

parameter CNT_MAX = 25'd24_99;//9_999;  参数化相当于C中的宏

 

//testbech里面led_flash_tb

led_flash //模块名

( //文件模块例化时利用上层模块对将要例化的模块的参数进行重新定义

//仿真时testbench文件作为顶层,CNT_MAX不再是led_flash里面的值而是led_flash_tb里的值

.CNT_MAX(25'd249)

)

led_flash0( //实例化模块

.Clk50M(Clk50M),

.Rst_n(Rst_n),

.led(led)

);

 

 

 

  • 0
    点赞
  • 27
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值