[UVM] 寄存器模型相关method详解

The register abstraction layer (RAL) of UVM provides several methods to access registers. This post will explain how the register-access methods work. In Register Abstraction, we introduced the overview of RAL and explained how to define registers. In this post, we will cover how to access the registers.

Properties of uvm_reg_field

Before diving into the register-access methods, let’s look at how a register value is stored. As seen in Register Abstractionuvm_reg_field is the lowest register-abstraction layer which represents the bits of a register. The uvm_reg_field uses several properties to store a variety of register-field values:

  • m_reset["HARD"] stores a hard reset value. Note that the m_reset is an associative array with a kind of reset as the key.
  • m_mirrored stores the value of what we think in our design under test (DUT).
  • m_desired stores the value of what we want to set to the DUT.
  • value stores the value to be sampled in a functional coverage, or the value to be constrained when the field is randomized.

Note that among these properties, only the value property is public. The other properties are local, thus we cannot access them directly from the out side of the class. We will show you how to access these local properties using register-access methods later.

Properties of uvm_reg_field

Properties of uvm_reg_field

configure()

The first thing we do after creating a uvm_reg_field is configuring it. In Register Abstraction, we configured the flavor field as follows. Note that in Register Abstraction, we defined the flavor field as "WO" (write-only), but we defined it as "RW" (read/write) here to make the field more generic.

1
2
3
4
5
6
7
8
9
10
flavor = uvm_reg_field::type_id::create( "flavor" );
flavor.configure( .parent                 ( this ),
                  .size                   ( 3    ),
                  .lsb_pos                ( 0    ),
                  .access                 ( "RW" ),
                  .volatile               ( 0    ),
                  .reset                  ( 0    ),                  .has_reset              ( 1    ),                  .is_rand                ( 1    ),
                  .individually_accessible( 0    ) );

If the has_reset argument is 1, the value of reset argument is taken as the "HARD" reset value. If the has_reset value is 0, the value of reset is ignored. The value of reset should match the reset state of the DUT. If you want to modify the reset value after the configuration, you can use set_reset() method.

flavor.set_reset( .value( 0 ), .kind( "HARD" ) ); // kind == "HARD" by default

How configure() and set_reset() methods work

How the configure() and set_reset() methods work

reset()

The reset() method resets the properties of a register field, if the m_reset[kind] exists. The default kind is "HARD". If the m_reset[kind] does not exist, the reset() method does nothing. Note that the reset() method does not reset a register in the DUT. It only resets the properties of a register-field object.

flavor.reset( .kind( "HARD" ) ); // kind == "HARD" by default

How reset() method works

How the reset() method works

set()

The set() method sets the desired value of a register field. The set() method does not set the value to a register in the DUT. It only sets the value to the m_desired and the value properties of a register-field object. To actually set the value to the register in the DUT, use write() or update() method. These methods will be explained later.

flavor.set( .value( 1 ) );

How set() method works

How the set() method works

get()

The get() method gets the desired value of a register field. The get() method does not get the value from a register in the DUT. It only gets the value of the m_desired property. To actually get the value from the DUT, use read() or mirror() methods. These methods will be explained later. Similarly to the get() method, there are two more getters to access the local properties. The get_reset() retrieves the value of the m_reset[kind] property, while the get_mirrored_value() method retrieves the value of the m_mirrored property.

uvm_reg_data_t desired_value  = flavor.get();
uvm_reg_data_t reset_value    = flavor.get_reset( .kind( "HARD" ) ); // kind == "HARD" by default
uvm_reg_data_t mirrored_value = flavor.get_mirrored_value();

How get(), get_reset(), and get_mirrored_value() methods work

How the get(), get_reset(), and get_mirrored_value() methods work

randomize()

The randomize() method is a SystemVerilog method. It randomizes the value property of a register-field object. After the randomization, the post_randomize() method copies the value of the value property to the m_desired property. Note that the pre_randomize() method copies the value of the m_desired to the value property if the rand_mode of the value property is OFF.

assert( flavor.randomize() );

How randomize() method works

How the randomize() method works

write()

The write() method actually writes a value to the DUT.

uvm_status_e status;
 
flavor.write( .status( status ), .value( 1 ) );

The write() method involves multiple steps.

  1. uvm_reg_item object corresponding to the write operation is created.
  2. The uvm_reg_adapter converts the write operation to a corresponding bus transaction.
  3. The uvm_driver executes the bus transaction to the DUT.
  4. The uvm_monitor captures the bus transaction.
  5. The uvm_reg_predictor asks the uvm_reg_adapter to convert the bus transaction to a corresponding register operation.
  6. The register operation is converted to a uvm_reg_item.
  7. The uvm_reg_item is used to update the valuem_mirrored, and m_desired properties.

Note that if the individually_accessible argument was 0 when the register field was configured, the entire register containing the field is written, because the field is not individually accessible. In this case, the m_mirrored values are used as the write values for the other fields.

How write() method works

How the write() method works

read()

The read() method actually reads a register value from the DUT.

uvm_status_e   status;
uvm_reg_data_t value;
 
flavor.read( .status( status ), .value( value ) );

Similarly to the write() method, the read() method involves multiple steps.

  1. uvm_reg_item object corresponding to the read operation is created.
  2. The uvm_reg_adapter converts the read operation to a corresponding bus transaction.
  3. The uvm_driver executes the bus transaction to the DUT.
  4. The uvm_reg_apapter converts the bus transaction with read data to a register operation.
  5. The read() method returns the read value to the caller.
  6. In the mean time, the uvm_monitor captures the bus transaction.
  7. The uvm_reg_predictor asks the uvm_reg_adapter to convert the bus transaction to a corresponding register operation.
  8. The register operation is converted to a uvm_reg_item.
  9. The uvm_reg_item is used to update the valuem_mirrored, and m_desired properties.

Note that if the individually_accessible argument was 0 when the register field was configured, the entire register containing the field is read. In this case, the m_mirrored values are updated for the other fields as well.

How read() method works

How the read() method works

update()

The update() method actually writes a register value to the DUT. The update() method belongs to the uvm_reg class. The uvm_reg_field class does not have the update() method.

uvm_status_e status;
 
jb_recipe_reg.update( .status( status ) );

The differences between the write() method and the update() method are:

  • The write() method takes a value as its argument, while the update() method uses the value of the m_desired property as the value to write.
  • The update() method writes the value only if the m_mirrored and the m_desired are not equal.

Before update()

Before the update() is executed

The update() method internally calls the write( .value( m_desired ) ). Because of this, the value of the m_mirrored will be updated as well, after the update.

After update()

After the update() is executed

mirror()

The mirror() method actually reads a register from the DUT.

uvm_status_e status;
 
flavor.mirror( .status( status ), .check( UVM_CHECK ) );

The differences between the read() method and the mirror() method are:

  • The read() method returns the register value to the caller, while the mirror() method does not return the register value. The mirror() method only updates the value of the m_mirrored property.
  • The mirror() method compares the read value against the m_desired if the value of the check argument is UVM_CHECK. Note that the UVM Class Library document states that it compares the read value against the mirrored value, but if you look at the line 2,944 of uvm_reg.svh of uvm-1.1c code base, it actually compares against the desired value, not against the mirrored value.

    April 11, 2014: uvm-1.1d code base has corrected this issue. The mirror() compares the read value against the mirrored value now. Please see the line 2,951 of uvm_reg.svh if you are curious about this fix.)

    Another caveat about the check is that if you set the volatile argument to be 1 when you configured the register field, the register field won’t be checked even though you set the check argument to be UVM_CHECK. This is because we cannot predict the value of the register field deterministically as it might have been changed (volatile) in the DUT.

The mirror() method internally calls do_read() method. This is the same method the read() method internally calls. Because of this, the mirror() method will update the value and the m_desired properties, in addition to the m_mirrored property.

How mirror() method works

How the mirror() method works

predict()

The predict() method updates the mirrored value.

flavor.predict( .value( 1 ) );

The predict() method also updates the value and the m_desired properties.

How predict() method works

How the predict() method works

Summary

The table below summarizes how each method updates the properties of the register-field object.

Methodm_reset
["HARD"]
valuem_desiredm_mirroredDUT
configure
(.reset(val),
.has_reset(1))
set the value of val
set_reset(val)set the value of val
reset()copy the value of m_reset
["HARD"]
copy the value of m_reset
["HARD"]
copy the value of m_reset
["HARD"]
set(val)set the value of valset the value of val
get_reset()return the value of m_reset
["HARD"]
get()return the value of m_desired
get_mirrored_value()return the value of m_mirrored
randomize()randomizecopy the value of value
write(.value(val))set the value of valset the value of valset the value of valwrite the value of val
read(.value(val))set the read valueset the read valueset the read valueread the register
update()set the value of m_desiredset the value of m_desiredset the value of m_desiredwrite the value of m_desired
mirror()set the read valueset the read valueset the read valueread the register
predict
(.value(val))
set the value of valset the value of valset the value of val

In this post, we only covered so-called front-door access. We will cover back-door access in a separate post. I hope this tutorial helped you to understand the register access methods.

  • 14
    点赞
  • 24
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值