自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(19)
  • 收藏
  • 关注

原创 UVM 寄存器模型

UVM 寄存器模型

2023-07-18 17:01:12 379 1

原创 UVM Virtual Sequence

uvm_declare_p_sequencer(virtual_jelly_bean_sequencer)//使用p_sequencer//实例化两个sequence//将如下的两个真实的seq连接到seqr并顺序执行forkjoinendtaskendclass//直接在vir_seq中创建两个真实的sequencer 句柄//实例化两个sequence//将如下的两个真实的seq连接到seqr并顺序执行forkjoin。

2023-06-21 19:39:19 201

原创 UVM,factory重载机制1

factory重载机制

2023-03-17 20:59:22 441

原创 一个简单的寄存器模型

uvm中建立简单的寄存器模型

2023-03-15 21:16:59 142

原创 TLM blocking put port

uvm

2023-02-27 22:05:55 130 1

原创 interface笔记三,modport用法

modport

2022-09-29 21:37:29 712

原创 interface笔记二,SV中使用interface

【代码】interface笔记二,SV中使用interface。

2022-09-28 17:53:49 822

原创 interface笔记一,传统verilog的方式使用interface

systemverilog interface

2022-09-28 17:23:43 516 1

原创 SV,class学习笔记五、参数化类(parameterized class)

systemveriolg parameteriaed class

2022-09-18 15:16:42 1551

原创 SV,class学习笔记四,copying

systemverilog class copy

2022-09-16 17:28:46 205

原创 SV,class学习笔记三,虚方法(virtual method)、多态(polymorphic)

virtual SystemVerilog class cast

2022-09-16 12:21:47 490 1

原创 SystemVerilog class章节 (2)静态变量、typedef

systemVerilog 、static 、class、 typedef

2022-09-15 16:56:55 294

原创 SV,class学习笔记一

sv,class

2022-09-15 11:32:23 547

原创 System Verilog Arrays (数组)

2. unpacked arrays unpacked arrays 的变量可以是任何的数据类型 logic、bit、byte等,变量的大小可以是一个范围[0:size-1] 也可以是一个数字[size]。`timescale 1ns/1ps// test modulemodule tb; logic data [2] [4]; initial begin foreach(data[i]) foreach(data[i][j]) begin ...

2022-03-10 22:03:23 195

原创 System Verilog Arrays (数组)

1. Packed Arrays在SV中有两种数组类型,一类是packed arrays,另一类是unpacked arrays。packed arrays 的维度定义在变量名之前,unpacked arrays 的维度定义在变量名之后。一维的packed arrays 也叫做vector。bit [7:0] c1; // packed arrays 或者 vector logic [3:0] [7:0] c2 ...

2022-03-10 21:40:42 363

原创 SystemVerilog:Chapter 3,Net and Variable types_4

3.4 Variable typesVariables provide temporary storage for simulation.The temporary storage required by simulation does not necessarily mean that actual silicon will require storage.always_comb begin sum = a + b; endTh...

2022-03-01 17:17:20 347

原创 SystemVerilog:Chapter 3,Net and Variable types_3

3.3 Types and data typesSystemVerilog provides two general groups of data types, nets and variables.Data types are used by software tools, such as simulators and synthesis compilers, to determine how to store data and process changes ...

2022-03-01 15:03:52 46

原创 SystemVerilog:Chapter 3,Net and Variable types_2

3.2 Literal values (numbers)3.2.2 Vector fill literal valuesSystem Verilog provides a special form of unsized literal integers that sets all bits of a vector of any size to 0, 1, X or Z.An example of using the vector fill literal integers is:...

2022-03-01 14:23:37 53

原创 SystemVerilog:Chapter 3,Net and Variable types_1

3.1 Literal values (numbers)3.2.1 Literal integer values1.Simple decimal literal integersA literal integer value can be specified as a simple number, such as the number 9。result = d + 9 ;A simple literal number is treated by simulation an...

2022-03-01 14:10:40 120

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除