Verilog 状态机编写

  

Verilog状态机编写

一、状态机的编写分为3种

  1. 一段式:将状态转移、状态转移条件、输出全都放置在一个always模块中。

  2. 两段式:一个模块用时序逻辑描述状态转移,另一个模块利用组合逻辑描述状态转移条件及输出。

  3. 三段式:第一个模块利用时序逻辑编写状态转移(always、非阻塞原语)。

    第二个模块利用组合逻辑编写状态转移条件(always、阻塞原语)。

    第三个模块可以利用时序或组合逻辑来编写输出,但利用组合逻辑编写输出时,一般可能会产生毛刺。

二、Verilog HDL 数字设计与建模 ——Joseph Cavanagh

P285 例8.28 设计一个Mealy同步时序状态机,当检测到输入x端口出现了1001的序列时,输出z将被拉高。重叠的1001也要求被检测出来。


module mealy_ssm (x, clk, rst_n, state, z)
 input x, clk, rst_n;
 output state, z;
 
 wire z;
 reg [1:0] state, next_state;
 
 parameter state_a = 2'b00,
state_b = 2'b01,
state_c = 2'b11,
  state_d = 2'b10,
 
 //第一段用时序逻辑描述状态转移
 always @ (posedge clk or negedge rst_n)
  begin
         if (~rst_n)
          state <= state_a;
         else
          state <= next_state;
       end
 
 //第二段用组合逻辑描述状态转移条件
 always @ (x or state)
   begin
     case (state)
       state_a:  
         if (x==0)
        next_state = state_a;
         else
           next_state = state_b;
       state_b:
         if (x==0)
           next_state = state_b;
       else
          next_state = state_a;
       state_c:
         if (x==0)
           next_state = state_c;
         else
           next_state = state_a;
       state_d:
         if (x==0)
           next_state = state_a;
         else
           next_state = state_b;
       default: next_state = state_a;
     endcase
   end
 
 //输出采用了组合逻辑
 assign z1 = (state[1] && ~state[0] && x && clk);

endmodule

 

 

转载于:https://www.cnblogs.com/m-hhh/p/9229527.html

  • 0
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值