avalon入门笔记(1)

avalon介绍

avalon是一个简单、迷你、易用、高性能的MVVM框架,它最早发布于2012.09.15。为解决同一业务逻辑存在各种视图呈现而开发出来的。

由司徒正美开发和维护,它可以轻松实现数据的隔离和双向绑定。

avalon将所有的前段代码彻底分成两部分,视图的处理通过绑定实现(angular中叫做指令),业务逻辑则集中一个一个叫VM的对象处理。我们只要通过操作VM的数据,它就自然而然地神奇地同步到视图。

开始

我们只需下载最新版本的avalonJS,然后将其引入页面

    <!DOCTYPE html>
    <html>
    <head lang="en">
        <meta charset="UTF-8">
        <title>avalon</title>
        <script type="text/javascript" src="avalon.js"></script>
    </head>
    <body>
    <div ms-controller="wrap">{
  {name}}</div>
    </body>
    </html>

接着,类似于angular中的“ng-controller”,avalon的控制域属性名叫做“ms-controller”,你可以把它当作一个监听器,把它绑定到一个容器后,avalon就能扫描和监听这个容器内的所有(绑定了avalon方法或带有插值表达式的)

  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值