计算机如何实现开根号?

今天看到一个问题:计算机如何实现开根号?


如何求一个数字的算术平方根(又叫开根号,或者开方)?
大家普遍都是用计算器直接计算的,对于程序员来说,就是调用sqrt()方法。但是其内部又是怎么实现的呢?下面作了下总结。



方法一:迭代法

学过计算方法的应该都还有印象:一个函数 f(x) 在区间 [a,b] 上连续,且 f(x)=0 在 x∈[a,b] 上有解,求x?
最简单的就是用二分法:分别求f(a)、f(b)、f[(a+b)/2],哪两个乘积为负数则把那两个区间当做 [a,b] ,然后一直循环,直到 a-b 达到要求的精度为止。
再有一种就是用迭代法:迭代法有很多种,公共的思想是选一个数值,然后不断循环迭代,让它逐渐逼近真实解。至于怎么迭代可以让它趋近真实解,不同问题的求解用的迭代方法不同,我们暂且先忽略。
其实二分法也算是迭代法的一种了。

好了,直接看开根号的迭代法代码吧:

double _sqrt(double a)
{
    double x1 = a;
    double x2 = a/2;
    while(fabs(x1-x2) > 0.00000001)
    {
        x1 = x2;
        x2 = (x1+a/x1)/2;     ///////迭代的核心代码
    }
    return x1;
}


方法二:数学推导

用计算机设计算法解决问题时,特别是数学问题,最直观的思路有两个。
一个是利用计算机强大的计算能力,用穷举、递归、迭代等方法,直接求解,或者不断趋近、收敛于真实解。例如有些密码的破解,例如线性方程组的求解等等。
另外一种就是利用数学,把问题用数学推导简化成一条公式,再通过计算机求解这条公式即可。最典型的就是圆周率Pi的计算公式:π/4=1-1/3+1/5-1/7+1/9-1/11+……

百度里面有一个求开方的很好的方法,原址见此
这里写图片描述
以上方法可以笔算求解出任意一个正数的算术平方根。可是为什么要乘以20呢?为什么a要这样试验得到呢?这些数学原理我们不用深究,毕竟我们的目的不是搞数学研究。
我们的重点是,这应该怎样转化成程序代码呢?我的大约思路是:
1、用要求开方的这个数用字符数组存储,然后把它分隔成两个两个字符,用atoi函数转成int型存在一个整型数组里边,然后对这个整型数组进行操作。这样就能求任意长的数字的开方了,这是用第一种方法做不到的。
2、看上面的图,暂且把193.9叫做商,把29、383、3869叫做除数。则:把商的每一位数存在int型数组里边,则各个除数都能用商的各位数表示出来了。
3、逻辑实现:重点有两个,一个是除法的实现,另外一个是小数的处理。
具体的代码就不放出来了。



以上两种解法,只是解决了开根号的问题而已。我们注重的是求解思路,而不是具体的方法。毕竟生活中的问题不少,而解法又各式不同。但知道了从哪个方向去利用计算机开根号,那开立方、求对数 这些问题也就都容易解了。

生命不息,学习不止,以后如果还遇到其他解法,再来补上。

转载于:https://www.cnblogs.com/Bone-ACE/p/4531297.html

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
### 回答1: System Verilog是一种硬件描述语言(HDL),在数字电路设计中广泛使用。在System Verilog中,我们可以使用内置函数来对数值进行操作,如开根号开根号是常见的数学运算之一,可以用于求解复杂方程或计算一个圆的半径等。在System Verilog中,我们可以使用sqrt()函数来执行开根号操作。 sqrt()函数是一个内置函数,用于计算一个数的平方根。该函数的语法如下所示: real sqrt(real num); 其中,num是要计算平方根的实数。该函数的返回值是一个实数,表示num的平方根。 例如,如果我们想要计算16的平方根,我们可以使用以下代码: module sqrt_example; initial begin real num = 16; real result = sqrt(num); $display("The sqrt of %0d is %0d", num, result); end endmodule 在上面的代码中,我们定义了一个实数变量num,并将其赋值为16。然后,我们调用sqrt()函数来计算num的平方根,并将结果存储在变量result中。最后,我们使用$display函数输出结果。 以上就是System Verilog中开根号的基本介绍和示例代码。sqrt()函数是一个常用的内置函数,可以帮助我们在数字电路设计中执行各种数学运算。 ### 回答2: SystemVerilog是目前最常用的硬件描述语言,它提供了很多新的功能和特性,其中包括SV中的数据类型和操作符。在SV中,我们可以通过使用sqrt()函数来实现开根号运算。 sqrt()函数是一个内置函数,并且可以接收任意整型或实型数据类型的参数,例如reg、int、double等。sqrt()函数的返回值也是实型数值,所以需要使用实型变量来存储其结果。 这个函数只需要一个输入参数,它的一般格式如下: sqrt(input real num); 其中,num为需要进行开方的数值,real表示这个数值的类型为实型,输入的数值可以是正的、负的或者零。 例如,要计算平方根的结果并将其存储在一个实型变量中,可以使用以下代码: real a = 4.0; real result = sqrt(a); 在这个例子中,我们将实数4.0存储在变量a中,并使用sqrt()函数将a的平方根计算出来并存储在变量result中。 在进行数值计算时,我们应该注意数据类型的匹配和精度问题,以保证计算结果的正确性和准确性。此外,在进行相应的硬件设计时,我们还需要考虑使用sqrt()函数对电路的面积、功耗和时钟延迟等方面的影响,以实现更高效的设计。 ### 回答3: System Verilog是一种硬件描述语言,可以用于设计、模拟和验证各种硬件系统。开根号是一种数学运算,在计算机中通常使用计算实用程序进行计算。 在System Verilog中,开根号可以通过使用特定的数学函数进行计算。该函数称为$sqrt$函数,可以接受一个整数或实数参数,并返回其平方根。例如,使用以下代码可以计算平方根: real num = 25; real root = sqrt(num); 上述代码将计算实数变量$num$的平方根,并将结果存储在另一个实数变量$root$中。这种方法也可以用于计算负数的平方根,结果将为虚数。 总之,使用System Verilog进行平方根计算可以通过$sqrt$函数轻松实现。此语言具有广泛的硬件设计、模拟和验证功能,并且可以轻松处理各种数学运算。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值