自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

dijkstar的专栏

IT技术让中国未来领先世界,微信:dijkstar

  • 博客(20)
  • 资源 (118)
  • 收藏
  • 关注

原创 The Wind River Registry on host "localhost" died unexpectedly

问:打开workbench3.0,怎么总是提示如下信息!而且手动启动wtxregd也总是不成功,不知道是什么原因?本人用的是试用版!The Wind River Registry on host "localhost" died unexpectedly. Please launch the registry manually from command line, with the -D 

2016-02-29 10:29:33 1754 1

原创 VC、Linux、vxWorks读写物理扇区小结

直接上程序:1. Windows下的VC:HANDLE hDevice = CreateFile("\\\\.\\I:", GENERIC_READ|GENERIC_WRITE, FILE_SHARE_READ | FILE_SHARE_WRITE, NULL, OPEN_EXISTING, 0, NULL ); if (hDevice ==

2016-02-25 14:48:59 2031

原创 [数据重现-文件系统原理精解与数据恢复最佳实践].(马林).影印版及光盘下载地址

http://pan.baidu.com/s/1i4bMXOL

2016-02-20 21:24:00 1995

原创 vxWorks测量一个函数的执行时间(timexLib)

使用timex( ),测试程序:#include "vxWorks.h" #include "timexLib.h"#include "stdio.h"#define ITERATIONS (50)//// 被测量函数//int printit(void) /* Function being timed */{ int i; for(i=0; i < ITERATIO

2016-02-11 13:20:01 4272

原创 prjComps.h、prjParams.h、prjConfig.c的关系

首先这三个文件都不要手工编辑,都是自动产生的;1. Tornado工程配置工具把收集到的用户配置信息反馈体现到工程的相关源文件中,从而实现了VxWorks的自动配置和裁剪。组件包含开关宏(COMPONENTS INCLUDED)和宏参数(PARAMETERS)将体现在动态生成的prjParams.h和prjComps.h文件中。prjParams.h通常被config.h包含,prjC

2016-02-11 12:46:40 1446

转载 vx中的prjConfig.c

我更改usrconfig.c文件某函数内的内容。在开发环境(Tonardo2.2)下REBUILDER ALL, 运行Vxworks发现我的修改没有起作用(也就是说我的修改未被编译)。编译过程的前面几步中有一步就是: vxrm ..\prjComps.h ..\prjParams.h ..\prjConfig.c ..\linkSyms.c(头文件和源文件被删掉了!)然后又自动创建

2016-02-11 11:41:14 1671 1

原创 VC程序里一种很好的线程退出方式,算是复习Event

直接看程序://1. 初始化的地方创建“退出事件”HANDLE ExitEvent = ::CreateEvent(NULL,FALSE,FALSE,NULL);//2. 下面是放在一个线程里while(1){ //不断的检测事件是否触发?否则1ms后超时(相当于Sleep(1)了) DWORD st = ::WaitForSingleObject(ExitEvent, 1);

2016-02-10 18:15:43 1123

原创 VC支持十六(16)进制的字符串转换为数值函数strtoul

直接看示例: char str[100] = "ab12"; //或者”0xab12”均可; unsigned long x = strtoul(str, NULL, 16); //得到的 x= 0xab12若记不住函数名strtoul,在MSDN上搜索“atoi”,最下面的see also里即是

2016-02-10 17:54:39 12424

转载 Linux 中的权限 -- 0755 和 0644

Linux 系统中采用三位十进制数表示权限,如0755, 0644.ABCDA- 0, 表示十进制B-用户C-组用户D-其他用户 ---  -> 0   (no excute , no write ,no read)--x  -> 1   excute, (no write, no read)-w-  -> 2   write -wx  -> 3   wri

2016-02-08 22:38:55 53920 4

原创 vxWorks消息队列示例

#include "vxWorks.h" #include "msgQLib.h" /* defines */ #define MAX_MSGS (10) #define MAX_MSG_LEN (100) MSG_Q_ID myMsgQId; //任务3仅仅创建消息队列task3(void) { /* crea

2016-02-08 22:00:10 2573

原创 vxWorks互斥信号量示例

#include "vxWorks.h"#include "semLib.h"#include "taskLib.h"#include "logLib.h"#include "sysLib.h"#include "stdio.h"#define CONSUMER_TASK_PRI 98 /* Priority of the consumerTask task

2016-02-08 21:30:20 2518

原创 vxWorks的计数信号量和看门狗定时器联合使用的示例

#include "vxWorks.h"#include "wdLib.h"#include "stdio.h"#include "semLib.h"#include "taskLib.h"#include "usrLib.h"#include "sysLib.h"/* defines */#define TASK_WORK_TIME 2 /* 2 ticks */

2016-02-08 21:19:22 2517

原创 vxWorks的二值信号量示例

#include "vxWorks.h"#include "taskLib.h"#include "semLib.h"#include "stdio.h"#include "sysLib.h"SEM_ID semId; LOCAL SEM_ID semId1; /* semaphore id of binary semaphore 1 */LOCAL SE

2016-02-08 20:57:38 1143

原创 vxWorks的双向链表示例

vxWorks的链表是双向链表,适用于传递大量数据,需要快速访问的情况的数据结构,下面是示例代码://双向链表的测试例子#include #include #include typedef struct _Queue{ NODE * next; NODE * prev; int age; char name[255];} QUEUE;LIST list;void

2016-02-08 19:57:25 1733

原创 vxWorks的ld()和loadModule()的区别?

以VX6.6为例,见文档:《vxworks_kernel_programmers_guide_6.6.pdf》第607页:

2016-02-03 15:58:37 5848

原创 vxWorks6.6 for x86的几篇pdf文档百度盘备份地址

以下vxWorks 6.6几篇pdf文档备份在百度云盘:http://pan.baidu.com/s/1hrll0Mgvxworks_application_api_reference_6.6.pdfvxworks_application_programmers_guide_6.6.pdfvxworks_architecture_supplement_6.6.pdfvxworks_

2016-02-03 14:06:42 3002 2

原创 vxWorks6.6下ifFlagSet、ifAddrSet函数找不到的替换解决办法

在Vx中配置好网卡及IP地址,并在程序中入口处启动网络,一般在vx5.5中,是这样的代码,并且编译的很好的: ipAttach(0, "gei"); ifFlagSet( "gei0", IFF_UP); ifAddrSet("gei0", "188.89.6.1");在Vx6.6编译时,会出现找不到上面的函数,解决办法参见《Wind River Network Stack for

2016-02-03 13:50:32 3953

转载 VxWorks IP地址设定

与Linux操作系统一样,IP地址的设置对于VxWorks操作系统来说是件最为平常的事。本人初学VxWorks,碰到了此问题,就写下总结希望对和我一样的初学者有帮助,同时也给自己以后的需要留点足迹。 U-Boot的启动:在未进入系统之前,也就是U-Boot中,可以使用设置环境变量方法配置主机IP,方法如下:setenv ipaddr 192.168.20.200 (

2016-02-03 12:53:49 17086

转载 vxWorks FAQ中文版收藏-转载之下

3.BSP FAQ3.1 不同BSP3.2 处理器3.2.1 Power PC3.2.1.1 问题关于MPC860BSP(新手上路):在读前辈程序的时候遇到这样一些语句: lis r3, 0x0090 ori r3, r3, 0x0000 #plp=9, 50M clk stw r3, PLPRCR(r4) #PLPRCR 不知道LIS、ORI、STW是什么语言?望

2016-02-03 11:43:06 1835

原创 使用FileZilla Ftp 客户端工具上传vxWorks镜像的注意事项

一定要将传输类型放到“二进制”上,并且传输完毕后,检查字节数是否和源文件一致:

2016-02-03 11:28:42 1771

WinXP DDK 2600

WinXP DDK 2600,这些老的资源已经不多见了,但是里面有一些示例example,新版本的DDK(WDK)没有,没办法,还得在老版本里面找得到。

2015-01-31

DriverStudio3.2.1完全安装版

DriverStudio3.2.1完全安装版,在3.2版本上添加升级补丁至3.2.1,内含序列号及License文件.

2015-01-20

DJGPP(32位的DOS)访问PCI9054

DJGPP是32位的DOS,运行于保护模式下,访问PCI9054。dos已经脱离时代了,但x86计算机原理没有改变,保护模式、PCI总线(PCIe在驱动层面兼容)、DMA、中断等等没有变;在dos下写一些驱动测试程序,效率高,直观。配合文档使用:http://blog.csdn.net/dijkstar/article/details/1426094(DOS下djgpp读取写入物理内存及DMA设置)、http://blog.csdn.net/dijkstar/article/details/1408104(DOS下的DJGPP环境如何勾连硬件中断)

2015-01-17

Windows驱动开发技术详解PDF

Windows驱动开发技术详解PDF, 扫描版的,比较清晰,但没有目录,用起来不是很方便,放在这里作为电子备份用。

2015-01-15

FIFO的一个实现类CircularFifo

FIFO的一个VC的实现类CircularFifo,附带测试程序

2015-01-03

IRIG-106的文档(2013版)和测试程序及源程序

IRIG-106的文档(2013版,chapter1-10,pdf版本)和测试程序及源程序,也可以到http://www.irig106.org/ 下载,

2014-12-07

WRK-v1.2源文件及VS2008工程设置

1. 【WindowsResearchKernel-WRK1.2.rar】 应该直接使用命令行方式编译 WRK-v1.2,假设解压出来的放置在 D:\WRK-v1.2 下,并编译成x86方式,打开一个cmd: set arch=x86 [或者选择 amd64] path D:\WRK-v1.2\tools\x86;%path% cd D:\WRK-v1.2\base\ntos nmake -nologo x86= 约1-2分钟,编译出的内核文件在 D:\WRK-v1.2\base\ntos\build\exe\wrkx86.exe 2. 【wrk_visualstudio2008.zip】 这个文件夹的目的是为了阅读WRK-v1.2方便,解压后,里面没有放置WRK的源码。 因此将 D:\WRK-v1.2\base 覆盖该文件夹下的base目录,打开VS2008工程文件, 配合Visual Assist(VC助手),可以非常方便的阅读WRK源码。 该文件夹下没有成功的编译WRK内核。 3. 【wrk.chm】 很方便的查阅WRK内核函数。 其实,编译内核后,产生的:D:\WRK-v1.2\base\ntos\build\exe\wrkx86.def 也是导出函数的说明,如下:自己将这些函数放置在VS2008工程搜索即可找到对应。 NAME ntoskrnl.exe EXPORTS CcCanIWrite CcCopyRead CcCopyWrite CcDeferWrite CcFastCopyRead ............ ............

2014-09-21

驱动层和应用层交互事件

基于张帆编写的《Windows驱动技术详解》,文档见:http://blog.csdn.net/dijkstar/article/details/39268905

2014-09-14

IRPTrace1.00.007版本含注册机

IRP的跟踪工具,1.00.007版本,2005年9月18日,内附注册机,亲测可用

2014-09-09

2048游戏的VC++实现,分为控制台和对话框两种

2048游戏的VC++实现,分为控制台和对话框两个程序,见文档:http://blog.csdn.net/dijkstar/article/details/33320263

2014-06-22

InstallShield6安装工具和chm使用教程

InstallShield6安装工具和chm使用教程,含安装工具,含chm教程。自己花高价钱买来的,0分送给那些没有分的还在使用VC6.0的朋友们,我自己测过了可用,放心下吧!

2014-06-15

vc下groupbox和按钮自绘

vc下groupbox分组框和按钮的自绘,见文档:http://blog.csdn.net/dijkstar/article/details/27964389。

2014-06-01

SNTP客户端VC源程序,基于PJ Naughter

参见文档:http://blog.csdn.net/dijkstar/article/details/23095407;PJ Naughter在codeproject上的网站有很多其他的MFC开源库:http://www.codeproject.com/Articles/519/PJ-Naughter-s-Freeware-Library

2014-04-07

验证WD_Sleep函数

验证WinDriver的WD_Sleep函数,结论时没什么实际使用意义,文档在http://blog.csdn.net/dijkstar/article/details/22602659

2014-03-30

微软串口控件mscomm使用高波特率VC示例程序

文档位置在:http://blog.csdn.net/dijkstar/article/details/21248629,其实也没什么设置,就是按照串口转换芯片所能达到的波特率设置即可,其他地方无需改动。这个示例还是一个很好的使用微软串口控件,传输二进制数据的示例。另外根据多个工程经验验证,微软的mscomm接收数据响应效率,要高于网上的其他串口库,建议多用这个成熟控件。

2014-03-14

2、4、8、16、24、32位图解析显示

在VC中,位图显示一般有现成的方式,如使用picture控件、GetDC()->StretchBlt、::BitBlt等,但这些方式都是高层的封装,让你不清楚一副位图是如何解析并显示到DC上的。实际应用中,比如图像处理,视频显示等,需要操作到位图中的像素,这需要弄明白位图文件如何组成,网上有太多的位图文件格式说明,下面借助实例和SetPixel函数完成解析与显示。 文档说明:http://blog.csdn.net/dijkstar/article/details/20854709

2014-03-09

VC工程清理工具(含源码)

VC工程清理工具,源码是基于VC6的,是在网上一个现有程序改造而成的,添加了清理的文件列表、支持文件夹拖放、获取当前目录,是从用户角度添加这些功能的,这个文件夹就是用该工具清理的。

2014-03-02

Xilinx ISE11.1的跑马灯程序,基于Spartan-3AN

Xilinx ISE 11.1的跑马灯程序,是基于Spartan-3AN入门板套件的,里面就两个关键文件,一个是verilog源文件(TestLED.v),一个用户约束文件TestLED.ucf(不是Spartan-3AN类型平台,对照你自己的板卡引脚说明文档相应修改即可),自己只需把这两个文件添加到工程中,然后综合,再创建bit下载文件,用iMpact烧录到器件中。这是初学者学习FPGA非常直观的一个入门实例。

2014-02-08

Xilinx ISE 11.1 License

Xilinx ISE 11.1 License,到2017年过期,经验证可用。

2014-02-08

TI的1394OHCI资料整理备份

1、TI的大部分芯片如12lv21、12lv22、12lv23、42AD2、43AB23、82AA2等等的EEPROM内容生成;2、CRC生成、3、GUID生成、4、两个查看PCI查看工具pciscope3.0和RW-everything_V1.3;见文档:http://blog.csdn.net/dijkstar/article/details/18861753

2014-01-29

ARINC429资料(英贝特HT6302应用Demo及说明书)

ARINC429的资料,内含天津英贝特HT6302板卡的说明书及应用程序Demo源码,还有一篇《ARINC-429-tutorial.pdf》,非常适合429总线入门。

2014-01-11

SmartDraw2013含注册机

smartdraw2013版本,源安装文件54.8M;内含注册机,2.8M,里面有份安装说明,亲测可用

2013-12-14

Condor BusTools-1553-API

Condor BusTools-1553-API 高价购买的,condor 1553B 的程序源码对开发1553 很有帮助,里面有篇1553教学文档也很不错。

2013-10-20

《CLR via C#》非托管代码互操作性提到的P/Invoke Interop Assistant

《CLR via C#》非托管代码互操作性提到的P/Invoke Interop Assistant开发工具,包含源代码,是从网站:http://clrinterop.codeplex.com/ 上下载的,作为备份

2013-10-16

字模III 3.91版本

字模III 3.91版本,里面附了注册机,经验证可用

2013-09-09

百合电子的开源项目Easy USB 51 Programer手册

百合电子工作室(http://usb.baiheee.com)在09年发布了一个USB开源项目——Easy USB 51 Programer,此开源项起初只是为了展示一个基于USB接口的51编程器开发过程,后来又在此电路板基础上实现了更多USB开发实例,此开源形式展示了USB HID设备类以及自定义USB设备的开发方法,并配有详细开发文档,受到广大网友的好评。虽然我的网站上公布了电路图,但许多网友觉得自己制作太麻烦、成本高,于是询问是否有成品电路板出售,所以本工作室组织生产了几批电路板,很快就销售一空。由于此电路板并不是专门为USB初不者设计的,所以考虑重新推出一款专门为初学者而设计的学习板,所以EASY USB D12横空出世了

2013-08-27

djgpp203,保护模式的DOS

djgpp是保护模式的DOS,在一些场合还是用的上的,常常和allegro一块用,见说明http://blog.csdn.net/dijkstar/article/details/10416287

2013-08-27

allegro 422 游戏包

allegro 422 游戏包,留作备份,使用说明见http://blog.csdn.net/dijkstar/article/details/10416287

2013-08-27

程序员必备的代码阅读工具 Scitools Understand

Understand6.2版本及crack

2023-10-30

在麒麟Linux下编译tcpdump所需要的四个源文件

bison-3.8.tar.xz flex-2.6.4.tar.gz libpcap-1.10.4.tar.gz tcpdump-4.99.4.tar.gz

2023-08-21

SEED-XDS560v2 USB Driver

在win11上安装了ccs5.5后用的是SEED-XDS560 USB仿真器,亲测可用

2023-06-25

【PL2303TA不支持WINDOWS 11及后续版本,请洽询购买厂商】解决

windows10更新windows11后,发现不支持PL2303TA的解决

2023-01-02

Paragon_ExtFS_Windows_42651.rar

Paragon_ExtFS_Windows_42651,Paragon ExtFS for Windows 是一款适用于Windows操作系统的软件,也有 for Mac的,支持读写ext2/ext3/ext4文件系统,操作简单方便,界面简洁美观。

2019-06-12

ffmpeg编程读取摄像头信息,保存为裸yuv420p、yuyv422视频流

ffmpeg编程:读取摄像头信息,保存为裸yuv420p、yuyv422视频流,参见文档:https://blog.csdn.net/dijkstar/article/details/85881709

2019-01-05

微软串口控件MSCOMM32.OCX注册方法

微软串口控件MSCOMM32.OCX注册方法

2016-05-07

用过最好用的C/C++/STLchm格式的帮助文档

用过最好用的C/C++/STLchm格式的帮助文档。虽然安装了微软的msdn,但stl这一部分,msdn的文档写的并不好。还有人说在win7下,打不开chm格式的文件,最简单的解决办法是,将其压缩成rar文件,双击在解压工具中打开即可

2015-09-27

DLL导出自定义消息通知exe执行

VC编程DLL导出自定义消息,通知exe执行,见文档:http://blog.csdn.net/dijkstar/article/details/48766121

2015-09-27

圈圈USB的书籍pdf和光盘

圈圈USB的书籍pdf和光盘,在此作为备份

2015-07-05

StartIO上机实践

Windows设备驱动程序StartIO、IRP同步/异步上机小结, 文档见链接:http://blog.csdn.net/dijkstar/article/details/44901049

2015-04-06

Programming the Windows driver model中文版chm和第二版光盘源码

1. 《Programming the Windows driver model中文版》为第一版电子书; 2. WDM 2nd-CDROM: 内含第二版的电子书(WDM 2nd-CDROM\eBook\IS_001\oney2.chm)和Sample光盘源码

2015-01-31

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除