《UVM实战》笔记——第八章 factory机制

更新ing

重载的方式及种类

重载的方式主要有三种,分别是调用位于uvm_component中的覆盖函数、调用位于uvm_factory中的覆盖函数、以及采用命令行进行覆盖。

位于uvm_component中的覆盖函数:

  1. set_type_override_by_type()
    会在需要将验证平台里所有A类型替换成B类型时用到。
extern static function void set_type_override_by_type(uvm_object_wrapper original_type,uvm_object_wrapper override_type,bit replace=1);

注:

  • uvm_object_wrapper型的类型参数,可以通过xxx::get_type()的形式获得。
  • 在实际应用中一般只用前两个参数, 第一个参数是被重载的类型, 第二个参数是重载的类型。

eg. 要将bird类型替换成parrot类型

set_type_override_by_type(bird::get_type(), parrot::get_type());
  1. set_inst_override_by_type()
    有时候不想将验证平台中的A类型全部替换成B类型, 而只是替换其中的某一部分实例,就可以用到。
extern function void set_inst_override_by_type(string relative_inst_path, uvm_object_wrapper original_type, uvm_object_wrapper override_type);
  1. set_type_override()
extern static function void set_type_override(string original_type_name, string override_type_name, bit replace=1);

eg. 用parrot 替代bird类型:

set_type_override("bird","parrot")
  1. set_inst_override()
extern function void set_inst_override(string relative_inst_path, string original_type_name, string override_type_name);

eg. 使用new_monitor重载my_monitor:

set_inst_override("env.o_agt.mon", "my_driver", "new_monitor");

小结:

  • 类型覆盖不需要写路径,实例覆盖需要写路径,而且是相对路径

位于uvm_factory中的覆盖函数

上述的所有函数都是uvm_component的函数, 但是如果在一个无法使用component的地方, 如在top_tb的initial语句里, 就无法使用。 UVM提供了位于uvm_factory中的另外四个函数来替换上述的四个函数。

四个覆盖函数

  1. set_type_override_by_type
extern function void set_type_override_by_type (uvm_object_wrapper original_type, uvm_object_wrapper override_type, bit replace=1);

第一个与uvm_component中的同名函数类似, 传递的参数相同。

  1. set_inst_override_by_type
extern function void set_inst_override_by_type (uvm_object_wrapper original_type, uvm_object_wrapper override_type, string full_inst_path);

第二个对应 uvm_component中的同名函数, 只是其输入参数变了, 这里需要输入一个字符串类型的full_inst_path。 这个full_inst_path就是要替 换的实例中使用get_full_name( ) 得到的路径值。

  1. set_type_override_by_name
    第三个与uvm_component中的set_type_override类似, 传递的参数相同。

  2. set_inst_override_by_name
    第四个函数对应uvm_component中的set_inst_override, 也需要一个full_inst_path。

如何用?

系统中存在一个uvm_factory类型的全局变量factory,可以如下进行调用:

initial语句中:

initial begin
	factory.set_type_override_by_type(bird::get_type(),parrot::get_type());
end

在component里也完全可以直接调用factory机制的重载函数:

factory.set_type_override_by_type(bird::get_type(), parrot::get_type());

用命令行覆盖

<sim command> +uvm_set_inst_override=<req_type>,<override_type>,<full_inst_path>
<sim command> +uvm_set_type_override=<req_type>,<override_type>[,<replace>]

eg.

类型重载:

<sim command> +uvm_set_type_override="my_monitor,new_monitor"

实例重载:

<sim command> +uvm_set_inst_override="my_monitor,new_monitor,uvm_test_top.env.o_agt.mon"
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Hardworking_IC_boy

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值