UVM的factory机制(二)

本文介绍了UVM的factory机制,包括使用`uvm_component_utils`或`uvm_object_utils`宏注册组件,如何通过`override`功能改写类行为,以及在不同阶段执行的任务。在UVM中,phase用于在不同时间执行不同任务,如build_phase、run_phase等,而raise_objection和drop_objection用于控制验证平台的开启和关闭。
摘要由CSDN通过智能技术生成

Factory机制:
1、 UVM强烈推荐使用uvm_component_utils或者uvm_object_utils宏来注册。用法:
Class A extends uvm_component
`uvm_component_utils(A);
Endclass
创建一个A的实例如下:
A a;
a=A::type_id::create(“a”,this);
通过该方式,可以使用UVM的众多功能。

2、 override功能:
需要对某些类进行改写时,可用override功能。先从my_driver派生出一个类,定义为:
Class new_driver extends my_driver;
………
`uvm_component_utils(new_driver)
Endclass
之后在case的build_phase中调用override相关的函数:
Class case_x extends base_test;
Function void build_phase(uvm_phase phase);
……..
Set_type_override_by_type(my_driver::get_type(),new_driver::get_type());
Endfunction
Endclass
经过上诉过程后,在跑case_x的时候,系统运行my_driver就是new_driver类型,其行为就是new_driver。有个前提是在agent实例化的时候,要使用factory机制。
Class my_agent;
My_driver drv;
Function void buile_phase

UVM factory机制UVM中非常重要的一个机制,它提供了一种灵活的方式来创建和管理UVM组件。在UVM中,每个组件都是通过一个工厂类来创建的,这个工厂类就是UVM factory机制的核心。 UVM factory机制的源码实现主要包括以下几个部分: 1. `uvm_factory` 类 `uvm_factory` 类是UVM factory机制的核心类,它是所有组件的创建和管理的中心。在这个类中,定义了一些重要的函数,例如 `create_component_by_name`、`create_object_by_name`、`register_component_creator`、`register_object_creator` 等函数。 2. `uvm_component` 类 `uvm_component` 类是所有UVM组件的基类,它包含了一些重要的函数,例如 `create`、`get_type_name`、`get_full_name` 等函数。在 `uvm_component` 类的 `create` 函数中,会通过 `uvm_factory` 来创建组件。 3. `uvm_object` 类 `uvm_object` 类是所有UVM对象的基类,它也包含了一些重要的函数,例如 `create`、`get_type_name` 等函数。`uvm_object` 类的 `create` 函数中,也会通过 `uvm_factory` 来创建对象。 4. `uvm_create_func` 和 `uvm_component_registry` 类 `uvm_create_func` 和 `uvm_component_registry` 类是用来注册和存储组件创建函数的。在 `uvm_factory` 中,会使用 `uvm_component_registry` 来存储所有已注册的组件创建函数。 5. `uvm_coreservice_t` 类 `uvm_coreservice_t` 类是UVM中的一个单例类,它提供了一些全局的服务,例如 `get_factory`、`get_report_server`、`get_phase_scheduler` 等函数。在 `uvm_factory` 中,会使用 `uvm_coreservice_t` 来获取全局的 `uvm_factory` 实例。 总的来说,UVM factory机制的源码实现比较复杂,涉及到很多UVM的核心类和机制。如果想要深入了解UVM factory机制的源码实现,需要对UVM的整体架构有比较充分的理解。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

南国之邱

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值