计数器设计:递增然后递减,不断循环

在VGA方块碰撞实验中,遇到一个计数器设计问题,需要实现递增到一定值后递减,再递增的循环。文中提供了一个设计方案,以数x为例,先递增10次,然后递减10次,如此循环。通过代码和仿真波形验证了设计的正确性,并指出初始设计中因缺少条件判断导致的错误及其解决方法。
摘要由CSDN通过智能技术生成

  做VGA方块碰撞实验时,发现一个计数器的问题。如果我们需要设计一个计数器,它先递增,递增到一定数后开始递减,递减到一定数后又递增,循环反复,应该怎么设计呢?这个思想在很多地方都能用到,如PWM呼吸灯、VGA方块回弹等。这里给出一个设计方法。

要求:

  设计一个数x,它先递增10次,然后递减10次,又递增10次,循环反复。

代码:

 1 module count
 2 //---------------------<端口声明>-------------------------------------------
 3 (
 4 input  
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值