Quartus II——工程建立和常用设置

  Quartus ii是针对Altera FPGA的一款EDA软件,在此以一个led闪烁工程来简单说一下基本操作:

 

  一、注意事项

    Quartus ii最大的注意事项就一点:工程名称以及工程里面的文件名称,都不能出现中文!

 

  二、工程建立

    1.新建一个文件夹,并在里面按照自己习惯新建一些常用的文件夹,以后查看时会很方便。我的建立如下:

doc       : 相关资料 
img       : 图片文件
prj       : 工程文件
rtl       : 代码文件
test      : 测试文件

  2.代码编写。因为quartus ii自带编译器不是很好用,建议用第三方编译器写好代码,再用quartus ii进行综合编译。

 1 //======================================================================
 2 //--名称 : led_flash
 3 //--作者 : xianyu_FPGA
 4 //--日期 : 2018-10-10
 5 //--描述 : led灯每隔1s亮灭1次
 6 //======================================================================
 7 
 8 module led_flash
 9 (
10     input               clk                 ,
11     input               rs
  • 0
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值