【VHDL语言学习笔记(六)】 计数器

目的:设计一个带使能输入的同步清0的增1/减1的通用(带类属说明)的计数器(8位)。本程序使用了类属说明语句,可以通过改变类属说明语句中变量的大小进而改变内部电路结构和规模。程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity counter is generic(n : integer := 8); --generic类属说明,确定计数器的位数 port( --.
摘要由CSDN通过智能技术生成

目的:设计一个带使能输入的同步清0的增1/减1的通用(带类属说明)的计数器(8位)。

 本程序使用了类属说明语句,可以通过改变类属说明语句中变量的大小进而改变内部电路结构和规模。

程序

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity counter is
	generic(n : integer := 8);	--generic类属说明,确定计数器的位数
	port(
		--clr-清零,clk-时钟信号,en-使能,up-模式选择(1-加,0-减)
		clr,clk,en,up		:in std_logic;	
		output				:out std_logic_vector(7 downto 0)
		);
end counter;

architecture behave of counter is
signal count	:std_logic_vector(7 downto 0);
begin
	process(clk)
	begin
		if(clk'event and clk = '1') then	--上升沿检测
			if(clr = '1') then	--清0
				count <= "00000000";
			elsif (en = '1') then	--使能端使能
				if(up = '1') the
  • 3
    点赞
  • 31
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

傻萌的路飞

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值