GVIM配置

"keep 50 lines of command line history
set history=50	
	
"show the cursor position all the time
set ruler		

"display incomplete commands
set showcmd		
" do incremental searching
set incsearch		

"显示行号
set number

"设置gvim启动窗口的位置,以及大小
winpos 100 50
set lines=480 columns=320

"设置字体(空格的使用转义字符)或冒号隔开或使用双引号扩起来
set guifont=Bitstream\ Vera\ Sans\ Mono:h12

"设置配色
colorscheme torte
"colorscheme freya
"colorscheme lucius
"colorscheme blackdust
"colorscheme rainbow_neon

"为光标所在的行添加表示
setlocal cursorline

" 状态栏
set laststatus=2  

"状态栏信息
if has("statusline")
set statusline=%F%m%r%h%w\ %=[FORMAT=%{&ff}]\ %{\"[\".(&fenc==\"\"?&enc:&fenc).((exists(\"+bomb\")\ &&\ &bomb)?\",B\":\"\").\"]\"}\ [TYPE=%Y]\ [POS=%l,%v][%p%%]\ %{strftime(\"%d/%m/%y\ -\ %H:%M\")}
endif
vmap <C-c> "yy   "复制模拟 CTRL + C  
vmap <C-x> "yd  
nmap <C-v> "yp  
vmap <C-v> "yp  
nmap <C-a> ggvG$  
  
nmap <C-s> :wa<cr>  "模拟WIN 保存 CTRL + S  
imap <C-s> <Esc>:wa<cr>a

http://download.csdn.net/detail/dl0914791011/5991347


设置C文件函数名高亮,编辑vim72/syntax/c.vim

"highlight Functions,这可以高亮函数 
syn match cFunction /\<\w\+\%(\s*(\)\@=/ 
hi default link cFunction Include



1. 多行注释

在通常模式下,按 v 进入可视模式,Shift键加向下或向上移动光标,选择需要注释的行,然后按ctrl+q,将行头标记起来,接着按大写的I(shift+i),再插入注释符,比如"%",再按Esc,就会全部注释了。


2. 多行去掉注释
在通常模式下,按 v 进入可视模式,Shift键加向下或向上移动光标,选择需要去掉注释的行,然后按ctrl+q,将行头标记起来,接着按d,就会删除全部注释了。

3. 块缩进

    选中块,>或<

4. 单行缩进

   >>或<<


  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Verilog是一种硬件描述语言,用于描述和设计数电系统。gvim是一款高级文本编辑器,可以提供Verilog代码编辑的功能。 要配置gvim来支持Verilog的编辑,首先需要安装gvim编辑器。可以通过官方网站或者第三方软件源来获取安装包,并按照安装指南进行安装。 安装完成后,需要为gvim配置Verilog语法高亮显示功能。可以从官方网站或第三方网站下载Verilog的语法高亮插件,将其安装到gvim中。安装完成后,打开gvim编辑器,在编辑代码时,Verilog的关键字、注释和变量名等将会以不同的颜色显示,以便于阅读和编辑。 另外,gvim还支持代码自动补全功能,可以加快编码速度和减少错误。为了启用这个功能,可以安装相关的插件,如YouCompleteMe等。安装完成后,可以根据需要配置自动补全的方式和设置。 此外,gvim还支持Verilog的代码折叠功能,以方便在编辑大型代码文件时进行结构化查看。通过设置相关的折叠选项,可以将模块、函数和代码块等进行折叠和展开。 最后,gvim还可以与Verilog编译器和仿真器进行集成,以方便代码的编译和仿真。可以根据实际需要,配置相关的编译器和仿真器,并将其与gvim进行连接。 综上所述,配置gvim来支持Verilog的编辑可以通过安装插件、配置语法高亮、自动补全、代码折叠和与编译器、仿真器的集成等方式来实现。这些配置可以提高Verilog代码的编写效率和质量,使开发过程更加便捷和高效。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值