Vivado 2019.02与VCS_MX_VO-2018.09-SP2的联合仿真

目录

1 Vivado调用VCS仿真

1.1 软件准备

1.2 仿真库编译

1.3 Vivado 2019.02第三方仿真软件配置

2 Vivado导出VCS脚本仿真

2.1 VCD文件生成

2.2 FSDB文件生成

2.3 导出脚本

2.4 VCS编译

2.4 DVE查看波形

参考博文


1 Vivado调用VCS仿真

1.1 软件准备

VCS_MX_VO-2018.09-SP2:链接:https://pan.baidu.com/s/1tC5CSldNemZX9Kb4DjeYRQ  提取码:49b9 

Vivado 2019.02:链接:https://pan.baidu.com/s/1dxS7AlAdW1Kosas7yd_P3A  提取码:9f56 

注: 如果仿真软件下载链接有问题,请发邮件至909727985@qq.com,我再重新发一个。

注:用vcs仿真要在testbench中加入生成波形文件的语句。

1.2 仿真库编译

仿真器选择:Verilog Compiler Simulator

编译库位置:自定义

仿真器路径:vcs_mx\O-2018.09-SP2\

 

ERROR:编译库出问题最多,首先是版本问题,版本最好使用MX版本的(可能的原因是Xilinx IP核多数是VHDL编写,有的是Verilog编写,所以需要混合编译), vcs_vO-2018.09-SP2这个版本的VCS也试过,没有编译通过。第二个就是权限的问题了,编译库成功后,Vivado调用VCS仿真,如果VCS未启动,最大可能的原因就是权限的问题了,此部分是公司IT帮忙解决。

1.3 Vivado 2019.02第三方仿真软件配置

Vivado第三方仿真软件配置

2 Vivado导出VCS脚本仿真

2.1 VCD文件生成

在testbench中加入以下语句:

initial
begin
    $dumpfile("tb.vcd");
    $dumpvars();
end

2.2 FSDB文件生成

fsdb文件是verdi使用一种专用的数据格式。类似于VCD,但是它是只提出了仿真过程中信号的有用信息,除去了VCD中信息冗余,就像对VCD数据进行了一次huffman编码。

VCD文件使用verilog内置的系统函数来实现的,fsdb是通过verilog的PLI接口来实现的, $fsdbDumpfile,$fsdbDumpvars 等。因此fsdb数据量小,而且会提高仿真速度。

initial
begin
    $fsdbDumpfile("tb.fsdb");
    $fsdbDumpvars();
end

2.3 导出脚本

2.4 VCS编译

 在命令行中运行vcs下的top_tb.sh脚本。

2.4 DVE查看波形

执行到出现 gs232 initial begin 没有报错就成功在vcs目录下生成波形文件了,将波形文件加载到dve或者verdi中就可以了。

参考博文

1.  VCS+Verdi 安装及破解过程(亲测可用,包含形式验证工具安装) - 简书 (jianshu.com)

 

  • 4
    点赞
  • 23
    收藏
    觉得还不错? 一键收藏
  • 6
    评论
根据Xilinx官网提供的信息,Vivado 2019.2支持与ModelSim 2019.2进行联合仿真联合仿真的具体步骤如下: 1. 首先,需要安装Vivado 2019.2和ModelSim 2019.2。你可以从官方网站或其他合法渠道获取这两个软件的安装包。 2. 安装完成后,打开Vivado软件。在项目设计页面,点击"Flow Navigator"窗口中的"Simulation"选项,然后选择"Run Simulation"。 3. 在弹出的对话框中,选择"Behavioral Simulation (VHDL/Verilog)"作为仿真类型。 4. 在新建仿真界面中,选择"Create A New Simulation Source",然后点击"Next"。 5. 在"Select Simulation Language"界面中,选择使用VHDL或者Verilog进行仿真,并点击"Next"。 6. 在"Select Simulation Tool"界面中,选择"ModelSim"作为仿真工具,并点击"Next"。 7. 在"Select Simulation Run"界面中,选择"Create A New Configuration",并点击"Next"。 8. 在"Configure Design"界面中,选择你想要仿真的设计模块,并点击"Finish"。 9. 之后,Vivado会自动创建一个仿真源文件,并在ModelSim中打开仿真环境。 10. 在ModelSim中,你可以进行仿真设置,比如设置仿真时钟频率、仿真时间等。 11. 最后,点击ModelSim的"Run"按钮,开始进行联合仿真。 总结来说,vivado2019.2可以与modelsim2019.2进行联合仿真。你需要先安装这两个软件,并在Vivado中配置仿真设置,然后在ModelSim中运行仿真。希望对你有所帮助!<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* [modelsim2019.2 + vivado2018.2仿真xilinx原语.doc](https://download.csdn.net/download/qq_22222449/12010403)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] - *2* *3* [vidado 2019.2与modelsim2019.2的安装、破解、联合仿真配置](https://blog.csdn.net/wnazhe45/article/details/118679174)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 6
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值