Vivado 2019.01与Modelsim 10.07的联合仿真

Vivado软件中也自带仿真工具仿真速度是真的慢,至少比Modelsim慢挺多的。而Modelsim是我比较熟悉的一款仿真软件,固然选它作为设计功能的验证。为了将Vivado和Modelsim关联,需要进行一些设置,下面一一介绍。

  • 版本对应

首先确定Vivado的版本要和Modelsim的版本对应,编译库文件时才会没有错误,版本对应的信息在官方Ug973文件中有说明,下面以Vivado2019.01与Modelsim10.7为例进行Vivado 与 Modelsim的连接。

首先找到Vivado 2019.01版本对应的官方Ug973文件,在文件中搜索Modelsim,如下图所示,可以看到对应的版本信息。

Vivado与Mdelsim版本对应
  • 目录选择

一、在Vivado中设置Modelsim(即第三方仿真工具)的安装路径。在Vivado菜单中选择“Tools”——>“3rd Party Simulator”,在“Modelsim install path”栏中输入或选择Modelsim工具的安装路径,在“Modelsim Library Paths”中输入库编译文件要存放的位置,如图1所示。

图1  设置Modelsim的安装路径标题

 

  • 器件库编译

首先,在Modelsim安装路径中新建一个名为Vivado2019_lib的文件夹(路径和文件名可改),如图2所示。

图2  在Modelsim安装路径下新建Vivado2019_lib文件夹

 

接着选择Vivado菜单“Tools”——>“Compile Simulation Libraries...”命令,如图3所示。

图3  选择“Compile Simulation Libraries...”命令

 

在弹出的对话框中设置器件库编译参数,仿真工具“Simulator”选为Modelsim,语言“Language”、库“Library”、器件家族“Family”都为默认设置All(当然也可以根据自己的需求进行设置),然后在“Compiled library location”栏设置编译器件库的路径,这里选前面新建的Vivado2019_lib文件夹,此外在“Simulator executable path”栏设置Modelsim执行文件的路径,其他参数默认,如图4所示。

图4  设置器件库编译参数

 

设置好参数后点击“Compile”按钮开始器件库的编译。图5所示为正在编译器件库的过程中。器件库编译结束后给出编译报告,从报告中看出0个警告和0个错误,如图6所示。

图5  正在编译器件库的过程中

 

图6  器件库编译结束后产生编译报告

 

打开Modelsim安装路径下的Vivado2019_lib文件夹,便可以看到已经产生了器件库,如图7所示。

图7  已在Vivado2019_lib文件夹中生成器件库

 

  • 仿真参数设置

在Vivado中关联了Modelsim软件和编译器件库之后,就可以在Vivado中调用Modelsim软件对设计进行仿真了。不过,在对每一个新建的工程设计进行仿真时需要进行一些设置。选择Vivado菜单“Tools”——>“Settings...”命令,在弹出的对话框中,设置仿真工具为Modelsim、仿真语言为verilog或VHDL或混合,当设计中用到Vivado中自带的仿真工具时,还要指定器件库的路径,如图8所示。

图8  设置仿真参数

 

  • 4
    点赞
  • 72
    收藏
    觉得还不错? 一键收藏
  • 5
    评论
要在Vivado 2019.2中联合ModelSim进行仿真,你可以按照以下步骤进行操作: 1. 打开Vivado软件,并打开你需要进行仿真的工程。 2. 在Vivado界面的顶部菜单栏中,点击"Tools",然后选择"Settings"。 3. 在弹出的对话框中,选择"Simulation"选项卡。 4. 在"Simulation Language"下拉菜单中,选择"ModelSim"作为你的仿真工具。 5. 在"Simulation Top"框中,指定你的顶层文件。这是你设计的主要模块或顶层实体。 6. 如果你之前已经编译了库文件,可以在"Compiled Library"框中指定库文件的路径。 7. 点击"Apply"按钮应用设置,然后点击"OK"按钮保存设置。 8. 现在你可以在Vivado中使用ModelSim进行仿真了。你可以在仿真窗口中点击"SIMULATION",然后选择"Run Behavioral Simulation"来启动仿真。 请注意,这些步骤是基于Vivado 2019.2版本的操作,如果你使用的是其他版本,请参考相应版本的用户手册或文档进行操作。引用提供了关于VivadoModelSim联合仿真的简单介绍,如果你需要更多帮助,可以参考该文档。引用也提到了使用ModelSim进行仿真的优势和流程。123 #### 引用[.reference_title] - *1* *3* [Vivado2020.2 联合 Modelsim2019.2进行仿真](https://blog.csdn.net/jh323410/article/details/120340318)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v92^chatsearchT0_1"}} ] [.reference_item] - *2* [Vivado关联Modelsim进行仿真](https://blog.csdn.net/weixin_42837669/article/details/107829499)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v92^chatsearchT0_1"}} ] [.reference_item] [ .reference_list ]
评论 5
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值