vcs编译systemverilog遇到的坑

第一次使用vcs编译systemverilog代码,起初头部代码定义如下:

import uvm_package::*;
`include "uvm_macros.svh"

编译如下:

vcs -sverilog apb_tran.sv -full64

结果编译时报错:

Package scope resolution failed. Token 'uvm_packag' is not a package.

后来发现应该缩写,改为uvm_pkg,但是仍然报错

Package scope resolution failed. Token 'uvm_pkg' is not a package.

后来发现,需要添加 -ntb_opts uvm参数,表示引入UVM包,不然报错。

vcs -sverilog -ntb_opts uvm apb_tran.sv -full64
  • 1
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值