vcs编译systemverilog并且用verdi查看波形

2 篇文章 0 订阅

转载自:http://blog.chinaaet.com/weiqi7777/p/5100017757
对于编写的systemverilog代码,在linux下,可以使用vcs编译,但是编译的时候,要注意,要加入几个选项。

     整个过程,linux使用的是64位的redhat,vcs使用的是vcs2015,verdi使用的是verdi2015。



     如以下的systemverilog代码。

clip_image002

     其中router_test_top.sv是顶层的代码。



     使用命令

               vcs  -sverilog  router_test_top.sv –full64 –debug_all +incdir+./ -y ./ +libext+.sv+.v –P ${VERDI_HOME}/share/PLI/VCS/LINUXAMD64/novas.tab ${VERDI_HOME}/share/PLI/VCS/LINUXAMD64/pli.a

     其中:

     -sverilog : 表示vcs编译,支持systemverilog

     router_test_top.sv:  表示指定的顶层是 router_test_top.sv

     -full64:  当系统是64位,需要此选项,否则编译会出错

     -debug_all: 开始调试功能,这样才能在生成的simv中看波形

     +incdir./+:  将当前目录 加入 `include 的搜索路径

     -y  ./:  将当前目录加入搜索路径,这样在顶层中调用的module才会被找到

     +libext+.sv+.v:  指定文件,这里表示指定后缀为.sv和.v的文件

     -P: 指定使用的PLI,这里指定verdi下的PLI,因为verdi是需要fsdb文件来显示波形的,而fsdb文件的生成,需要系统函数(如$fsdbDumpfile,$fsdbDumpvars等等),而这些系统函数,vcs中是没有的,但是在verdi的PLI中有,所以这里需要指定一下。



     执行完毕后,就会生成 simv了。但是别忘记要执行以下simv,因为执行来,才会生成fsdb文件。

clip_image004

     生成fsdb后,就可以用verdi打开了。



     Verdi软件,需要源代码以及fsdb文件。

     命令:

               verdi -sv -f filelist –ssf test.fsdb

     其中:

               -sv: 表示 Verdi 软件 要支持systemverilog

               -f filelist:  源代码的文件列表

               -ssf test.fsdb:  指定fsdb文件



     而源代码的文件列表:

clip_image005

     执行后,就启动verdi了。

clip_image007

     将上述的命令,封装成makefile

clip_image009

     以后,只需要将objs的变量修改下,修改为当前设计的顶层。然后直接make命令,就可以对systemverilog代码进行编译,然后仿真,最后启动verdi查看波形。
  • 4
    点赞
  • 55
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

superyan0

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值