自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

Tomorrow Never Comes

有备方能无畏,无畏方能勇战,勇战绝不言和。

  • 博客(46)
  • 资源 (5)
  • 收藏
  • 关注

原创 机器视觉缺陷检测-边学边做-相机选取

目录机器视觉缺陷检测-边学边做-1相机选取相机示意图及基本结构相机基本参数确定视野与像素确定像素深度相机种类最大帧率行频像元尺寸机器视觉缺陷检测-边学边做-1.相机选取相机示意图及基本结构 简图↑ 全图↑ 光圈与景深↑相机基本参数确定视野与像素确定被检测石英镜片的最大直径为38.6mm。也就是最大弥散圆直径。 样品↑ 较小划痕样本↑ 划痕测量↑ 如上图,以直径

2017-07-29 20:19:02 4814 8

原创 Pulse Position Modulation and Differential PPM

Pulse-position modulation (PPM) is a signal modulation used for both analog and digital signal transmissions. This method is widely used for optical communication systems such as optic fiber and IR re

2017-07-29 17:47:18 1040

原创 C# 数据类型表

Short Name .NET Class Type Width Range (bits) byte Byte Unsigned integer 8 0 to 255 sbyte SByte

2017-07-29 17:47:15 364

原创 LabVIEW数据类型、C数据类型对照表

摘自 《LabVIEW 宝典》 在调用DLL设置参数或调用Windows API的时候需要用到。

2017-07-29 17:47:11 3191

原创 ASCII码表 完整版 包含诠释部分

<table border="0" cellpadding="0" cellspacing="0" width="572" style="border-collapse: collapse;width:432pt;" > <col width="70" span="2" style="mso-width-source:userset;mso-width-

2017-07-29 17:47:08 636

原创 ASCII码 诠释部分

<table class="MsoNormalTable" border="0" cellspacing="0" cellpadding="0" width="576" style="width:432.0pt;margin-left:4.65pt;border-collapse:collapse;mso-yfti-tbllook: 1184;mso-padding-alt:

2017-07-29 17:47:05 225

原创 ASCII码表

<table class="MsoNormalTable" border="0" cellspacing="0" cellpadding="0" width="576" style="width:432.0pt;margin-left:4.65pt;border-collapse:collapse;mso-yfti-tbllook: 1184;mso-padding-alt:

2017-07-29 17:47:02 369

原创 自动生成P/Invoke调用Windows API的C#函数声明

原文链接:http://blog.csdn.net/Donjuan/article/details/3865026在网上看到很多网友在.NET程序中调用Win32 API,或者调用自己的VC DLL里面提供的函数的时候,总是被生成正确的C函数在C#中的正确声明而困扰,而生成C++中结构体在C#中的声明 - 天,没有什么比这个更让人恶心的事情了。因为:1.         如果你的结构体里面包含 T

2017-07-29 17:46:59 342

原创 C#代码执行顺序探讨

原文地址:http://bbs.csdn.net/topics/220080755   通常我们设计一个C#应用程序,无需考虑过多就可以让我们的程序正确的跑起来。但是,当我们的设计日益复杂时,稍不小心就会因为不清楚C#代码的执行顺序以及规则而使我们陷入困境。先来个小demo,检查一下你对它了解多少吧。  1 public class MainTest  2 {  3     static void

2017-07-29 17:46:55 2800

原创 Labview调用dll参数设置:结构体、指针、数组、簇

原文地址:http://forums.ni.com/t5/Developer-Center-Resources/Passing-and-Receiving-Pointers-with-C-C-DLLs-from-LabVIEW/ta-p/3522714Passing and Receiving Pointers with C/C++ DLLs from LabVIEWby  Jervin Just

2017-07-29 17:46:52 16221

原创 2015/2016 Energy Harvesting Project 总结

联系作者:[email protected]声明:此文为我个人日后参考所写,所写内容全凭个人臆测,所写观点更是一派胡言。队友看到了更不要打我,我爱你们。首先肯定我们作为一个团队取得的成功。虽然由于Hochschule Bremen的箭体团队出了问题,搭载的箭体发射后由于降落伞未打开,箭体回收失败,发射过程中通信也是失败的,导致数据无法拿回,数据的丢失成为了项目的一大遗憾。但是我们团队在整个2

2017-07-29 17:46:49 304

原创 灭蚊记

八月十四日夜我安静的躺在床上准备入睡突然耳边传来了蚊子的声音嗡嗡嗡嗡眼下气温较低心想算了吧蚊子也不容易估计一会儿它就飞走了嗡嗡嗡嗡它又来了落在了我的脸上我挥挥手对其进行例行警告嗡嗡嗡嗡它又来了!是可忍,孰不可忍!!!对方狂妄的态度一再的挑衅我方决定对其进行毁灭性打击!我方开启声控雷达对其进行近距离追踪降落了!我方抓住有利时机迅速果断出击!啪!敌人的尸体应声粉碎在我方的脸上我方正准备清理战场之际耳控

2017-07-29 17:46:46 297

原创 后会无期

转载请标明作者:[email protected]今天,你走了,我帮你拉着行李,两个人走在路上,目光沉稳,脚步坚定,像是两只狮子,踱步在一望无际的草原。今天之前,我们总是争吵,为了一个‘正确’,为了一个‘精确’,为达目标永不妥协。你的明天,不会因为没了我而不同,我的明天也不会因为没了你而不同。虽然我一路上没有说话,但我心里一直希望,你能认认真真的输一次,天外有天,人外有人,放下那沉重的永不服

2017-07-29 17:46:43 247

原创 没有猪一样的队友,只有猪一般的队长

转载请注明作者:[email protected]第一次听这句话,是在当年读专科的时候,那时候宿舍流行打Dota游戏,由于是团队赛,所以流行了这么一句话“不怕神一般的对手,就怕猪一般的队友”。后来在大学参加的团队越来越多,也越来越坚定了“没有猪一样的队友,只有猪一般的队长”。为什么这么说呢?今年(2016)流行拿栗子说事,那我也拿几个栗子。我大学里的有一场团队赛,有个队友,当然不是我的亲队友

2017-07-29 17:46:40 1189

原创 渡渡鸟传

( 本故事纯属虚构, 如有雷同, 绝不可能 )毛里求斯1000年一群渡渡鸟来到他们的繁殖地他们三年前从这里离开历经磨难千辛万苦只有十分之一存活回到了繁殖地毛里求斯1100年一群渡渡鸟来到他们的繁殖地他们三年前从这里离开历经磨难千辛万苦只有十分之一存活回到了繁殖地毛里求斯1200年一群渡渡鸟来到他们的繁殖地他们三年前从这里离开历经磨难千辛万苦只有十分之一存活回到了繁殖地毛里求斯1300年一群渡渡鸟来

2017-07-29 17:46:37 326

原创 闭嘴

渴望有一方空间不管是草原、森林或是大海、沙漠、雪山不会因为是草原而惊喜不会因为是沙漠而沮丧草原就是草原沙漠就是沙漠没有惊喜或沮丧不会在沙漠里栽树不会去大海里造陆沙漠就是沙漠大海就是大海不会去保护小鸟不会去赶走野猪小鸟就是小鸟野猪就是野猪看着无数可爱的小海龟被海鸟吃掉只有少数爬到了海里看着蛇爬进了海鸟的巢成鸟在空中绝望的盘旋哀鸣不去援助海龟不去夺走蛇的美餐海龟就是海龟没有可爱蛇就是蛇没有好坏当切诺比

2017-07-29 17:46:34 243

原创 How To Use MOSFET – Beginner’s Tutorial(转)

Share this:Facebook39GoogleTwitter1EmailRedditIn this article, I will go through some common questions people tend to raise when they are using MOSFET, and the basics of MOSFET. This tutorial is prima

2017-07-29 17:46:31 649

原创 轻松告别鼠标滚轮摩擦声-鼠标滚轮上油 The solution of how to cut down the noise from cycle of you mouse

入手的海志的鼠标,十块钱还包邮。用到现在有一年了。我不玩游戏,所以对我已经很好用了。但是现在滚轮老犯别,有时候滑动滚轮会有清晰的摩擦声。今天没事,准备拆开修理它。There is a poor mouse buy from Taobao one year ago. It work now but make some noise sometimes when I slide its cycle. T

2017-07-29 17:46:28 5263

原创 matlab字符串处理(转)

%字符串处理a='  a';b='b  b';c='cccc';m=''%获取字符串长度length(a)    %连接两个字符串,每个字符串最右边的空格被裁切d=strcat(a,c)length(d)%连接多行字符串,每行长度可不等,自动把非最长字符串最右边补空格   %使与最长字符串相等,会忽略空字符串e=strvcat(a,b,m)size(e)%char连接,空字符串会被空格填满f=ch

2017-07-29 17:46:25 1036

原创 《上市公司行为规范》(张新文)----阅读笔记

全书分三篇,上篇为规范篇,中篇为案例篇,下篇法规篇。 上篇 规范篇 第一章  上市公司行为规范的准则 第一节  上市公司监管体系与法律框架 一、监管体系 (一) 监管体制          1、中国证监会。          2、各地证券监管派出机构对上市公司的监管职责。          3、证券交易所。 (二) 监管原则          公开、公平、公正。 二、法律框架

2017-07-29 17:46:22 1124

原创 Nand Flash与Nor Flash

原文地址:http://www.laogu.com/wz_1852.htm Nand Flash与Nor Flash经常在一些地方被提到,一直没认真去理解它们的区别,因此,今天花了一段时间仔细理解了一下,下面把我的笔记放在这里:)1、NOR的特点是芯片内执行(XIP,eXecute In Place),这样应用程序可以直接在flash闪存内运行,不必再把代码读到系统RAM中。优点是可以直接从FL

2017-07-29 17:46:19 293

原创 Verilog 脉冲边沿检测

在很多时候都要对输入脉冲进行边沿检测,如PS/2时序,ps2_data数据在ps2_clk时钟下降沿接收。 边沿检测Verilog程序代码: module DetecEdge(clk,ps2_clk,rst_n,pos_ps2_clk,neg_ps2_clk); input clk; //输入时钟 input ps2_clk; //输入要检测边沿的脉冲 input rst_n; //

2017-07-29 17:46:15 2335

原创 keil 4 + window7 下载地址+安装步骤+图

系统重装了哦。重新装一下keil,顺便给新手提供下方便。把安装步骤发上来。我一步步写,如果你还装不上,别搞这行了,回家生孩子去吧。。。 点击此处下载(含破解软件的哦。) ourdev_555609.rar(文件大小:28.58M) (原文件名:KEIL4 V9.01版(含破解).rar) 刚才测试了一下,用下载软件下比较快,用浏览器下载需要耐心。。。 下载完成,哈哈,迅雷下载的,十分钟左右,现

2017-07-29 17:46:12 1897

原创 寄存器、ram、rom、flash 相关概念区别整理

原文引自:http://blog.chinaunix.net/space.php?uid=22621462&do=blog&cuid=2102052 寄存器 寄存器是中央处理器内的组成部份。它跟CPU有关。寄存器是有限存贮容量的高速存贮部件,它们可用来暂存指令、数据和位址。在中央处理器的控制部件中,包含的寄存器有指令寄存器(IR)和程序计数器(PC)。在中央处理器的算术及逻辑部件中,包含的寄存

2017-07-29 17:46:09 3068

原创 TTL和CMOS电平

1,TTL电平(什么是TTL电平): 输出高电平>2.4V,输出低电平=2.0V,输入低电平2,CMOS电平: 1逻辑电平电压接近于电源电压,0逻辑电平接近于0V。而且具有很宽的噪声容限。 3,电平转换电路: 因为TTL和COMS的高低电平的值不一样(ttl 5vcmos 3.3v),所以互相连接时需要电平的转换:就是用两个电阻对电平分压,没有什么高深的东西。哈哈 4,OC门,即集电极开路门电路,

2017-07-29 17:46:06 293

原创 基于nios II的verilog VGA字符显示控制

原文引自:http://blog.ednchina.com/chactor/185060/message.aspx 在本文中介绍一种基于Nios II的VGA字符显示控制,可以显示所有ASCII字符,显示图像可更改,便把分辨率改成了32*24。 模块框图如下: VGA控制器的设计和显存(RAM)的设计与 基于Verilog的VGA显示控制 中的基本相同,只是显存用双口RAM替换了单口R

2017-07-29 17:46:03 1708

原创 状态机实例之密码锁(C语言实现)

密码2479   #include #include #include typedef enum{ STATE0 = 0, STATE1, STATE2, STATE3, STATE4,//password pass //...ADD here }STATE; typedef enum

2017-07-29 17:46:00 1743

原创 verilog三段式状态机模版

时序电路的状态是一个状态变量集合,这些状态变量在任意时刻的值都包含了为确定电路的未来行为而必需考虑的所有历史信息。 状态机采用VerilogHDL语言编码,建议分为三个always段完成。这是为什么呢? 设计FSM的方法和技巧多种多样,但是总结起来有两大类:第一种,将状态转移和状态的操作和判断等写到一个模块(process、block)中。另一种是将状态转移单独写成一个模块,将状态的操作和判断

2017-07-29 17:45:57 2039

原创 verilog 顶层模块的实例

比如工程名为converter,顶层文件名为converter.v,子模块为sw.v,顶层文件架构为:module converte(reset,dte_xtc,mclk,rclk,cable_sel,code_sel,clk_sel,cts_s,dte_rts,llb,rlb,rcl,tclk,ets,rt,l,loop,hbe,ctso,dsro,dcdo,tst_led);input res

2017-07-29 17:45:54 29476

原创 verilog 入门教程

1.1 什么是Verilog HDL?1.2 Verilog的历史1.3 Verilog的主要描述能力2.1 Verilog-模块2.2 Verilog-时延2.3 Verilog-assign语句2.4 Verilog-initial语句2.5 Verilog-always语句2.6 Verilog的结构化描述形式2.7 Verilog-混合设计描述方式2.8 Verilog-设计模拟3.1 V

2017-07-29 17:45:51 3600

原创 组合逻辑电路和时序逻辑电路

组合逻辑电路和时序逻辑电路 2011年03月09日 星期三 09:54 P.M. 组合逻辑电路:任何时刻电路的输出仅与该时刻电路的输入有关的数字电路被称为组合逻辑电路。组合逻辑电路不含有反馈,不含有记忆单元,仅仅通过将若干门电路按照不同的方式连接来实现所需的逻辑功能。常见的有加法器、减法器、编码器、解码器、多路选择器等。使用Verilog HDL描述逻辑电路通常有3种表达方

2017-07-29 17:45:48 2797

原创 Verilog HDL 学习要点总结

原文转自: http://www.ninthday.net/2011/07/learning-verilog-hal-2.html   1、网络类型的变量不能储存值,而且它必须受到驱动器(例如门或连续赋值语句,assign)的驱动。如果没有驱动器连接到网络类型的变量上,则该变量就是高阻的,即其值为z。常用的网络数据类型包括wire型和tri型。这两种变量都是用于连接器件单元,它们具有相同的语法

2017-07-29 17:45:45 636

原创 Verilog新手误区

Verilog的两个误区:使用Reg类型还是Net类型:Reg类型只在过程块中被赋值;而Net类型则在过程块外面被赋值或者驱动.阻塞赋值和非阻塞赋值:Verilog中竞争发生的条件:两个或多个语句在执行顺序不同时导致不同的结果,则存在竞争.Nonblocking不是一个类型;Blocking赋值是一个单步过程,计算RHS,并更形LHS是不可中断的.七条准则:1.时序逻辑和锁存器,使用非阻塞赋值2.

2017-07-29 17:45:42 437

原创 verilog赋值:阻塞(=)和非阻塞(<=)赋值的不同

原文引自:  http://www.cnblogs.com/zxl2431/archive/2010/09/25/1834856.html 在写组合逻辑电路的代码时,我发现书上例子大都用的"=";而在写时序逻辑电路代码时,我发现书上例子大都用的""。之前就知道在Verilog HDL中阻塞赋值"="和非阻塞赋值"有着很大的不同,但一直没有搞清楚究竟有什么不同,现在来慢慢的琢磨它。   对于我这

2017-07-29 17:45:39 945

原创 Verilog的可综合设计

目录 1、verilog的流行的两方面原因 2、 verilog与VHDL相比的优点 3、典型的verilog模块 4、verilog语法要点 一、verilog的流行的两方面原因 1它是cadence的模拟器verilog-XL的基础,cadence的广泛流行使得verilog在90年代深入人心; 2它在硅谷获得广泛使用; 二、verilog与VHDL相比的优点

2017-07-29 17:45:36 2189

原创 verilog对assign和always的一点理解

原文转自:  http://blog.csdn.net/iamoyjj/article/details/3478321 assign 用于描述组合逻辑 always@(敏感事件列表) 用于描述时序逻辑 敏感事件 上升沿 posedge,下降沿 negedge,或电平 敏感事件列表中可以包含多个敏感事件,但不可以同时包括电平敏感事件和边沿敏感事件,也不可以同时包括同一个信号的上升沿和下降沿,

2017-07-29 17:45:33 2943

原创 对C语言开发者的Verilog开发指南实例

本文举例说明了如何用软件实现脉宽调制(PWM),如何将该设计转换成一个可以在FPGA中运行的逻辑块,并能利用存储器映射I/O接口通过软件完成对该逻辑块的控制。通过理解本文讨论的概念和内容,没有太多硬件知识的软件开发人员也能掌握在FPGA上开发硬件的技能。 在不远的将来,嵌入式系统设计师将能够根据哪个更有利于解决设计问题来自由选择硬件和软件方案。但直到现在,对于那些想学习硬件设计的软件工程师来说不

2017-07-29 17:45:30 418

原创 verilog基础——模块端口

模块 模块的定义以关键字module开始,模块名、端口列表、端口声明和可选的参数声明必须出现在其他部分的前面,endmodule语句必须为模块的最后一条语句。端口是模块与外部环境交互的通道,只有在模块有端口的情况下才需要有端口列表和端口声明。模块内部的5个组成部分是:变量声明、数据流语句、低层模块实例、行为语句块以及任务和函数。 端口 端口是模块与外界环境交互的接口。对外部环境来讲,模块

2017-07-29 17:45:27 1889

原创 verilog的数据类型

1 整数、实数和时间寄存器类型 整数是一种通用的寄存器数据类型,用于对数量进行操作,使用integer进行声明。 integer counter; //一般用途的变量用作计数器 initial counter = -1; //把-1存储到寄存器中 实数:实常量和实数寄存器数据类型使用关键字real来声明,可以用十进制或科学计数法来表示。实数声明不能带有范围,其默认值为0.如果将一个实数

2017-07-29 17:45:24 5696

原创 windows7下可用的“超级终端”

(转自http://www.yimiju.com/articles/405.html   我试过的,好用。) XP系统的附件中集成了一个很有用的“超级终端”——Hypertrm。 但是Windows 7 32位和64位都没有集成。 上HyperTerminal的官方网站上看了下,最新的HyperTerminal Private Edition还是需要付费的! 于是想办法把XP下的Hyper

2017-07-29 17:45:21 1560

Multivibrator.zip

AD20开发。包含完整项目文件。

2021-03-23

Altium get started.pdf

Altium get started.pdf

2021-02-28

c#编写的加密算法 带界面

此为C#写的加密算法程序,开发环境Visual studio 2017 社区版。可以运行,有界面。此资源介绍文章可在csdn博客中找到:https://blog.csdn.net/dreamdonghui/article/details/84989923

2018-12-13

电子设计大赛省一等奖

2011年全国大学生电子设计竞赛山东省一等奖作品。牵扯FPGA和液晶屏显示,还有信号采集等等。

2014-04-06

单片机彩屏128128电路代码

彩屏代码,带有详尽注释。很适合刚接触彩屏的人学习。

2011-04-14

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除