记录基于若依SpringCloud版本的I8n国际化子模块开发

1.在ruoyi-common模块下添加子模块ruoyi-common-i18n2.先看下国际化包的pom.xml因为后续考虑会将国际化语言配置文件内容加载到redis中去,所以此处预留引入了redis相关的依赖,注意:这是属于子模块,不作为单独项目运行,独立运行的模块都是基于SpringMVC相关的拦截来处理。如自定义验证表演,和自定义标签,都需要响应的处理。所以这里会引入spring-boot-starter-web依赖,虽然不会重复依赖,为了使结构清晰,在引用国际化模块时,我会
摘要由CSDN通过智能技术生成

1.在ruoyi-common模块下添加子模块ruoyi-common-i18n

2.先看下国际化包的pom.xml

因为后续考虑会将国际化语言配置文件内容加载到redis中去,所以此处预留引入了redis相关的依赖,

注意这是属于子模块,不作为单独项目运行,独立运行的模块都是基于SpringMVC相关的拦截来处理。如自定义验证表演,和自定义标签,都需要响应的处理。

所以这里会引入spring-boot-starter-web依赖,虽然不会重复依赖,为了使结构清晰,在引用国际化模块时,我会排除国际化包引入的spring-boot-starter-web依赖。后续会截图说明。

3.工程目录结构

4.因为ruoyi-springCloud版本是基于Nacos读取配置文件,所以在在配置类编写时,读取国际化配置文件,可以相对比较灵活。以下是介绍下比较关键的几个类

4.1 I18nUtil,国际化工具类,主要用于根据key和语言进行国际化语言转换

package com.ruoyi.common.i18n.utils;

import com.ruoyi.common.constant.Constants;
import com.ruoyi.common.redis.service.RedisService;
import com.ruoyi.common.utils.StringUtils;
import com.ruoyi.common.utils.TokenUtil;
import org.springframework.context.MessageSource;
  • 3
    点赞
  • 17
    收藏
    觉得还不错? 一键收藏
  • 7
    评论
好的,这是一个关于Python正则表达式识别Verilog实例化模块,实例化时带有参数的问题。我会尽力回答。 首先,Verilog模块实例化是通过在代码中使用模块名和端口列表来完成的。当实例化模块时,我们可以传递参数值给模块,这些参数值可以在模块中使用。 在Python中,我们可以使用re模块来编写正则表达式来匹配Verilog代码中的模块实例化。下面是一个示例正则表达式,可以用来匹配带有参数的模块实例化: ```python import re code = """ module my_module #(parameter WIDTH = 8) ( input clk, input [WIDTH-1:0] data_in, output reg [WIDTH-1:0] data_out ); // some Verilog code here endmodule module top_module; // module instantiation with parameters my_module #(.WIDTH(16)) inst_name ( .clk(clk), .data_in(data_in), .data_out(data_out) ); endmodule """ # regular expression to match module instantiation with parameters pattern = r'module\s+(\w+)\s*#\s*\(([\w\s,=]+)\)\s*(\w+)\s*\(\s*(\.[\w\s,]+\s*\([^)]+\)\s*,?\s*)+\);' # find all module instantiations with parameters matches = re.findall(pattern, code) # print the matches print(matches) ``` 在上面的示例中,正则表达式模式使用了分组和字符类来匹配带有参数的模块实例化。其中: - 第一个分组匹配模块名称。 - 第二个分组匹配参数列表。 - 第三个分组匹配实例名称。 - 第四个分组匹配端口连接。 在代码中,我们使用re.findall函数来查找所有匹配项,并将它们存储在列表变量matches中。最后,我们打印出匹配项列表。 希望这个回答能够帮助你解决问题。如果你还有其他问题,请随时问我。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 7
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值