Microblaze 使用AXI Stream interface

FPGA连接如图所示

在这里插入图片描述

官方源代码

/* 
 * Example of using AXI-Stream instructions efficiently in MicroBlaze.
 *
 * See "Pipeline Architecture - Avoiding Data Hazards" in Chapter 2 of the
 * MicroBlaze Processor Reference Guide (UG984) for details.
 */
#include <stdio.h>
#include <xtmrctr.h>
#include "mb_interface.h"

#define MAX_COUNT 1000
#define BUFFER_SIZE 16

/*
 * Write 16 32-bit words as efficiently as possible.
 */
static void inline write_axis(volatile unsigned int *a)
{
    register int a0,  a1,  a2,  a3;
    register int a4,  a5,  a6,  a7;
    register int a8,  a9,  a10, a11;
    register int a12, a13, a14, a15;

    a3  = a[3];  a1  = a[1];  a2  = a[2];  a0  = a[0];
    a7  = a[7];  a5  = a[5];  a6  = a[6];  a4  = a[4];
    a11 = a[11]; a9  = a[9];  a10 = a[10]; a8  = a[8];
    a15 = a[15]; a13 = a[13]; a14 = a[14]; a12 = a[12];

    putfsl(a0,  0); putfsl(a1,  0); putfsl(a2,  0); putfsl(a3,  0);
    putfsl(a4,  0); putfsl(a5,  0); putfsl(a6,  0); putfsl(a7,  0);
    putfsl(a8,  0); putfsl(a9,  0); putfsl(a10, 0); putfsl(a11, 0);
    putfsl(a12, 0); putfsl(a13, 0); putfsl(a14, 0); putfsl(a15, 0);
}

/*
 * Read 16 32-bit words as efficiently as possible.
 */
static void inline read_axis(volatile unsigned int *a)
{
    register int a0,  a1,  a2,  a3;
    register int a4,  a5,  a6,  a7;
    register int a8,  a9,  a10, a11;
    register int a12, a13, a14, a15;

    getfsl(a0,  0); getfsl(a1,  0); getfsl(a2,  0); getfsl(a3,  0);
    getfsl(a4,  0); getfsl(a5,  0); getfsl(a6,  0); getfsl(a7,  0);
    getfsl(a8,  0); getfsl(a9,  0); getfsl(a10, 0); getfsl(a11, 0);
    getfsl(a12, 0); getfsl(a13, 0); getfsl(a14, 0); getfsl(a15, 0);

    a[3]  = a3;  a[1]  = a1;  a[2]  = a2;  a[0]  = a0;
    a[7]  = a7;  a[5]  = a5;  a[6]  = a6;  a[4]  = a4;
    a[11] = a11; a[9]  = a9;  a[10] = a10; a[8]  = a8;
    a[15] = a15; a[13] = a13; a[14] = a14; a[12] = a12;
}

int main()
{
    volatile unsigned int outbuffer[BUFFER_SIZE] = {
       0x0, 0x1, 0x2, 0x3, 0x4, 0x5, 0x6, 0x7, 0x8, 0x9, 0xa, 0xb, 0xc, 0xd, 0xe, 0xf
    };
    volatile unsigned int inbuffer[BUFFER_SIZE];
    int count = 0;

    XTmrCtr TmrCtr;
    int Status;
    unsigned int start_cycles, stop_cycles, total_cycles;

    /* Set up and start timer */
    Status = XTmrCtr_Initialize(&TmrCtr, XPAR_TMRCTR_0_DEVICE_ID);
    if (Status != XST_SUCCESS)
        return XST_FAILURE;
    start_cycles = XTmrCtr_GetValue(&TmrCtr, 0);
    XTmrCtr_Start(&TmrCtr, 0);

    /* Perform transfers */
    while (count++ < MAX_COUNT) {
        write_axis(outbuffer);
        read_axis(inbuffer);
    }

    /* Stop and read timer */
    XTmrCtr_Stop(&TmrCtr, 0);
    stop_cycles = XTmrCtr_GetValue(&TmrCtr, 0);
    total_cycles = stop_cycles - start_cycles;

    /* Report bandwidth */
    xil_printf("AXI-Stream bandwidth: %d Mbps\r\n",
      (MAX_COUNT * BUFFER_SIZE * 2 * 32) / total_cycles * (XPAR_CPU_CORE_CLOCK_FREQ_HZ / 1000000));

    return 0;
}

  • 1
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
MicroBlaze处理器系统中使用AXI Stream FIFO需要进行以下步骤: 1. 生成IP核并配置参数:在Vivado中生成AXI Stream FIFO IP核,并根据应用场景配置FIFO的参数,如深度、宽度等。 2. 添加IP核到Block Design中:在Block Design中添加AXI Stream FIFO IP核,并连接到处理器系统的PS端。 3. 在PS端配置AXI Stream FIFO的寄存器:在处理器系统的软件代码中,可以使用Xilinx提供的AXI Stream FIFO驱动程序访问FIFO的寄存器,进行配置和控制。 4. 在PS端实现读写操作:使用AXI Stream FIFO驱动程序的API,可以在处理器系统中实现向FIFO写入数据、从FIFO读取数据等操作。 下面是一个使用AXI Stream FIFO的简单示例代码,其中假设已经正确生成并连接了AXI Stream FIFO IP核: ```c #include "xaxistreamfifo.h" XAxisStreamFifo_Config *ConfigPtr; XAxisStreamFifo FifoInstance; int main() { int Status; u32 Data = 0x12345678; // 初始化AXI Stream FIFO驱动程序 ConfigPtr = XAxisStreamFifo_LookupConfig(XPAR_AXI_FIFO_0_DEVICE_ID); if (ConfigPtr == NULL) { return XST_FAILURE; } Status = XAxisStreamFifo_CfgInitialize(&FifoInstance, ConfigPtr); if (Status != XST_SUCCESS) { return XST_FAILURE; } // 将数据写入FIFO Status = XAxisStreamFifo_Write(&FifoInstance, &Data, sizeof(Data)); if (Status != XST_SUCCESS) { return XST_FAILURE; } // 从FIFO读取数据 Status = XAxisStreamFifo_Read(&FifoInstance, &Data, sizeof(Data)); if (Status != XST_SUCCESS) { return XST_FAILURE; } return 0; } ``` 需要注意的是,以上示例代码仅供参考,具体的实现方式需要根据硬件平台和应用场景进行调整和优化。
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值