8路彩灯显示系统


<p>设计一个8路彩灯显示系统,要求彩灯用两种节拍交替进行,有以下三种花型:</p><p>花型1:8路彩灯同时亮灭</p><p>花型2:8路彩灯每次只有一路灯亮,各路彩灯一次循环亮</p><p>花型3:8路彩灯每次只有4路灯亮,4路灯灭,且亮灭相间,交替亮灭</p>
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity light is
port(clk1:in std_logic;
light: buffer std_logic_vector(7 downto 0));
end  light;

architecture behv of light is
constant len:integer:=7;
signal banner:std_logic;
signal clk,clk2,clk3:std_logic;
signal save:std_logic_vector(12 downto 0);
begin
clk<=(save(12)and banner)or (clk2 and not banner);
clk3<=save(12);

process(clk1)
begin 
	if clk1'event  and clk1='1' then 
	save<=save+1;
	end if;
end process;

process(clk3)
begin 
	if clk3'event and clk3='1' then
	clk2<=not clk2;
	end if;
end process;

process(clk)
variable flag:bit_vector(2 downto 0):="000";
begin
	if clk'event and clk='1' then 
		if flag="000" then
			light<="11111111";
			if light="11111111" then
				light<="00000000";
				flag:="001";
				light<="00000001";
			end if;
		elsif flag="001" then 
			light<=light(len-1 downto 0)&'0';
			if light(7)='1' then
			flag:="010";
			end if;
		elsif flag="010" then
			light<="01010101";
			if light="01010101" 
			 then
				light<="10101010";
				flag:="011";
			end if;
		
		elsif flag="011" then
			light<="00000000";
			flag:="100";
		elsif flag="100" then 
			banner<=not banner;
			flag:="000";
		else flag:="000";
		end if;
	end if ;
end process;
end behv;



  • 2
    点赞
  • 19
    收藏
    觉得还不错? 一键收藏
  • 6
    评论
评论 6
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值