四位全加器

本文详细介绍了如何使用Verilog编写四位全加器的代码,并创建相应的激励模块。接着,指导读者如何在Modelsim中导入和编译代码,进行仿真操作,展示仿真过程。最后,讲解了在QuartusII中建立工程,导入代码并查看RTL原理图的步骤,为数字逻辑设计的学习提供了实践指导。
摘要由CSDN通过智能技术生成

一、编写四位全加器代码
二、编写四位全加器激励模块代码
三、将代码导入Modelsim进行仿真
1.导入全加器文件和激励模块代码
2.编译
3.仿真
4.运行得到仿真图
四、在QuartusII中建立工程导入代码查看RTL原理图
1.打开Quartusll新建工程
!!!注意!!!
2.将代码加入工程并编译
3.调出RTL原理图
一、编写四位全加器代码
四位全加器代码

    module add_4
    (
        input[3:0] a,b,
        output[3:0] sum,
        output cout,
        input cin
    );
    assign{cout,sum} = a+b+cin;
    endmodule
二、编写四位全加器激励模块代码
四位全加器激励模块代码

module adder_4();
    wire[3:0] sum;
    wire cout;
    reg[3:0] a,b;
    reg cin;
    initial
        begin
            #0 a = 4'b0001; b = 4'b1010; cin = 1'b0;
            #5 a = 4'b0010; b = 4'b1010; cin = 1'b1;
            #5 a = 4'b0010; b = 4'b1110; cin = 1'b0;
            #5 a = 4'b0011; b = 4'b1100; cin = 1'b1;
            #5 a = 4'b0111; b = 4'b1001; cin = 1'b0;
            #5 a = 4'b0001; b = 4'b1100; cin = 1'b1;
            #5 a = 4'b0011; b = 4'b1100; cin = 1'b0;
            #5 a = 4'b0111; b = 4'b1111; cin = 1'b1;
            #5 $finish;
        end
    add_4 u1(.a(a),.b(b),.cin(cin),.sum(sum),.cout(cout));
endmodule
三、将代码导入Modelsim进行仿真2ffeda2373294720a81606bb796bb902.jpg

 四、在QuartusII中建立工程导入代码查看RTL原理图05d7b096c6f14c0ab7e72b5351f5cedf.jpg

 调出原理图d5107289b79e43c384e5d97093ed2a3b.jpg

 

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值