4bit全加器实现(行波进位加法器)

        概要:加减乘除是人类使用的最基本的数学运算。现代计算机的发明之前,人们只能通过纸笔进行运算,效率有限;随着计算机的出现,运算速度几何倍增。在现在的编程语言中,我们只需要输入一个“+”,硬件设备会自动进行加法计算。但加法到底是如和通过硬件来实现的,虽然很底层,但我觉得还是有必要了解一下。 

        本篇博客就加法器的一种实现方式进行简单介绍——行波进位加法器(也可以叫波纹进位加法器脉动进位加法器

        说到加法器(通常说的加法器应该指的是全加器),就得从半加器说起。半加器的门级原理图及真值表如下图所示:半加器的目的是实现两个一位的二进制数的加法,也就是实现0与1的加法。但其无法进行超过1位二进制数的加法,所以才有全加器的出现。

一、半加器的实现

根据半加器的门级电路图,我们可以使用Verilog语言将其描述出来

/**********************************************
 * @Module function : 半加器
 * 		Description : 实现两个一位二进制数的相加

 * @Author : Akeng
 * @Date 2024/01/07 13:10:39
 * @logic {  }
 * @repair 
 * @version : 1.0.0
*******
  • 11
    点赞
  • 30
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值