以太网通信及设计

1. 以太网简介

1.1 以太网概述

以太网(Ethernet),是当今现有局域网采用的最通用的通信协议标准,它规定了包括物理层的连线、电子信号和介质访问层协议的内容。
优点:成本低、通信速率高、抗干扰性强
以太网分类
标准以太网:10Mbit/s
快速以太网:100Mbit/s
千兆以太网:1000Mbit/s
万兆以太网:10Gbit/s
以太网接口
以太网通信离不开连接端口的支持,网络数据连接的端口就是以太网。
以太网接口类型有RJ45接口,RJ11接口(电话线接口),SC光纤接口等。其中RJ45接口使我们现在最常见的网络设备接口(如:电脑网口)。
在这里插入图片描述
RJ45接口定义
在这里插入图片描述

1.2 MII接口与时序

PHY芯片
PHY芯片在发送数据时,首先将MAC(FPGA)发过来的并行数据转化为串行流数据,按照物理层的编码规则把数据编码转换为模拟信号通过网口发送出去,接收数据的流程反之。
当我们给网卡接入网线的时候,PHY芯片不断发出脉冲信号来检测对端是否有设备,他们通过标准的“语言”交流,互相协商并确定连接速度、双工模式、是否采用流控等。通常情况下,协商的结果是两个设备中能同时支持的最大速度和最好的双工模式。这个技术被称为Auto Negotiation,即自动协商。
MII接口
MII:Media Independent Interface(媒体独立接口),它是IEEE-802.3定义的以太网行业标准。
在这里插入图片描述
MII接口发送时序
在这里插入图片描述
MII接口接收时序
在这里插入图片描述

2. 以太网通信协议

2.1 以太网数据格式

OSI七层模型
在这里插入图片描述
以太网数据包
在这里插入图片描述
以太网帧格式
在这里插入图片描述
MAC(Media Access Control)地址:媒体访问控制地址
前导码(Preamble):为了实现底层数据的正确阐述,物理层使用7个字节同步码(0和1交替(55-55-55-55-55-55-55))实现数据的同步。

帧起始界定符(SFD,Start Frame Delimiter):使用1个字节的SFD(固定值为0xd5)来表示一帧的开始,即后面紧跟着传输的就是以太网的帧头。

目的MAC地址:即接收端物理MAC地址,占用6个字节。MAC地址从应用上可分为单播地址、组播地址和广播地址。单播地址:第一个字节的最低位为0,比如00-00-00-11-11-11,一般用于标志唯一的设备;组播地址:第一个字节的最低位为1,比如01-00-00-11-11-11,一般用于标志同属一组的多个设备;广播地址:所有48bit全为1,即FF-FF-FF-FF-FF-FF,它用于标志同一网段中的所有设备。

源MAC地址:即发送端物理MAC地址,占用6个字节。

长度/类型:上图中的长度/类型具有两个意义,当这两个字节的值小于1536(十六进制为0x0600)时,代表该以太网中数据段的长度;如果这两个字节的值大于1536,则表示该以太网中的数据属于哪个上层协议,例如0x0800代表IP协议(网际协议)、0x0806代表ARP协议(地址解析协议)等。

数据:以太网中的数据段长度最小46个字节,最大1500个字节。最大值1500称为以太网的最大传输单元(MTU,Maximum Transmission Unit),之所以限制最大传输单元是因为在多个计算机的数据帧排队等待传输时,如果某个数据帧太大的话,那么其它数据帧等待的时间就会加长,导致体验变差,这就像一个十字路口的红绿灯,你可以让绿灯持续亮一小时,但是等红灯的人一定不愿意的。另外还要考虑网络I/O控制器缓存区资源以及网络最大的承载能力等因素,因此最大传输单元是由各种综合因素决定的。为了避免增加额外的配置,通常以太网的有效数据字段小于1500个字节。

帧检验序列(FCS,Frame Check Sequence):为了确保数据的正确传输,在数据的尾部加入了4个字节的循环冗余校验码(CRC校验)来检测数据是否传输错误。CRC数据校验从以太网帧头开始即不包含前导码和帧起始界定符。通用的CRC标准有CRC-8、CRC-16、CRC-32、CRC-CCIT,其中在网络通信系统中应用最广泛的是CRC-32标准。

帧间隙(IFG,Frame Check Sequence)
为了接收下一帧准备的时间,IFG的最小值是96 bit time
10Mbit/s最小时间为:96x100ns=9600ns
100Mbit/s最小时间为:96x10ns=960ns
1000Mbit/s最小时间为:96x1ns=96ns

2.2 IP协议(Internet Protocol)

IP协议(互联网协议/网际协议)
IP协议是TCP/IP协议族中的核心协议,IP协议规定了数据传输的基本单元和格式。IP协议位于以太网MAC帧格式的数据段,IP协议内容由IP首部和数据字段组成。所有的TCP、UDP及ICMP数据都以IP数据报格式传输。
IP报文格式
在这里插入图片描述
前20个字节和紧跟其后的可选字段是IP数据报的首部,前20个字节是固定的,后面可选字段是可有可无的,首部的每一行以32位(4个字节)为单位。
版本:4位IP版本号(Version),这个值设置为二进制的0100时表示IPv4,设置为0110时表示IPv6,目前使用比较多的IP协议版本号是4。

首部长度:4位首部长度(IHL,Internet Header Length),表示IP首部一共有多少个32位(4个字节)。在没有可选字段时,IP首部长度为20个字节,因此首部长度的值为5。

服务类型:8位服务类型(TOS,Type of service),该字段被划分成两个子字段:3位优先级字段(现在已经基本忽略掉了)和4位TOS字段,最后一位固定为0。服务类型为0时表示一般服务。

总长度:16位IP数据报总长度(Total Length),包括IP首部和IP数据部分,以字节为单位。我们利用IP首部长度和IP数据报总长度,就可以知道IP数据报中数据内容的起始位置和长度。由于该字段长16bit,所以IP数据报最长可达65535字节。尽管理论上可以传输长达65535字节的IP数据报,但实际上还要考虑网络的最大承载能力等因素。

标识字段:16位标识(Identification)字段,用来标识主机发送的每一份数据报。通常每发送一份报文它的值就会加1。

标志字段:3位标志(Flags)字段,第1位为保留位;第2位表示禁止分片(1表示不分片 0:允许分片);第3位标识更多分片(除了数据报的最后一个分片外,其它分片都为1)。

片偏移:13位片偏移(Fragment Offset),在接收方进行数据报重组时用来标识分片的顺序。

生存时间:8位生存时间字段,TTL(Time To Live)域防止丢失的数据包在无休止的传播,一般被设置为64或者128。

协议:8位协议(Protocol)类型,表示此数据报所携带上层数据使用的协议类型,ICMP为1,TCP为6,UDP为17。

首部校验和:16位首部校验和(Header Checksum),该字段只校验数据报的首部,不包含数据部分;校验IP数据报头部是否被破坏、篡改和丢失等。

源IP地址:32位源IP地址(Source Address),即发送端的IP地址,如192.168.1.123。

目的IP地址:32位目的IP地址(Destination Address),即接收端的IP地址,如
192.168.1.102。

可选字段:是数据报中的一个可变长度的可选信息,选项字段以32bit为界,不足时插入值为0的填充字节,保证IP首部始终是32bit的整数倍。

IP首部校验和计算方法
1、将16位校验和字段置为0,然后将IP首部按照16位分成多个单元;
2、对各个单元采用反码加法运算(即高位溢出位会加到低位,通常的补码运算是直接丢掉溢出的高位);
3、此时仍然可能出现进位的情况,将得到的和再次分成高16位和低16位进行累加;
4、最后将得到的和的反码填入校验和字段。
在这里插入图片描述

2.3 UDP协议

TCP和UDP的区别
在这里插入图片描述
UDP协议
在这里插入图片描述
UDP首部共8个字节,同IP首部一样,也是一行以32位(4个字节)为单位。
源端口号:16位发送端端口号,用于区分不同服务的端口,端口号的范围从0到65535。

目的端口号:16位接收端端口号。

UDP长度:16位UDP长度,包含UDP首部长度+数据长度,单位是字节(byte)。

UDP校验和:16位UDP校验和。UDP计算校验和的方法和计算IP数据报首部校验和的方法相似,但不同的是IP数据报的校验和只检验IP数据报的首部,而UDP校验和包含三个部分:UDP伪首部,UDP首部和UDP的数据部分。伪首部的数据是从IP数据报头和UDP数据报头获取的,包括源IP地址,目的IP地址,协议类型和UDP长度,其目的是让UDP两次检查数据是否已经正确到达目的地,只是单纯为了做校验用的。在大多数使用场景中接收端并不检测UDP校验和,因此这里不做过多介绍。
在这里插入图片描述
用户数据打包在UDP协议中,UDP协议又是基于IP协议之上的,IP协议又是走MAC层发送的,即从包含关系来说:MAC帧中的数据段为IP数据报,IP报文中的数据段为UDP报文,UDP报文中的数据段为用户希望传输的数据内容。

3. 以太网通信设计

上位机通过网口调试助手发送数据给FPGA,FPGA开发板通过以太网接口接收数据,并将接收到的数据发送给上位机,完成以太网数据的环回。
系统框图
在这里插入图片描述
以太网发送模块状态机
在这里插入图片描述
以太网接收模块状态机
在这里插入图片描述
源码
免费下载资源:以太网通信设计rtl

3. 以太网传输图片(VGA显示)

使用开发板上的网口接收上位机传输的图片(分辨率为640*480),然后将图片存储在SDRAM中,并通过VGA接口在显示器屏幕上显示。
系统框图
在这里插入图片描述
显然,实现结构是在前面的基础上进行扩展,相关模块的讲解,请参考FPGA技术相关知识。
源码
免费下载资源:以太网传输图片(VGA显示)rtl

  • 10
    点赞
  • 85
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
以太网通信是一种常用的计算机网络通信协议,它使用以太网作为传输介质,实现了计算机之间的数据通信。在使用Verilog编写以太网通信代码时,我们需要考虑以太网的硬件层面和协议层面的实现。 首先,我们需要定义以太网通信所需要的信号和寄存器。以太网通信的硬件层面需要包括发送接收数据所需的时钟信号、数据信号和控制信号等。同时,还需要定义缓冲区和寄存器来储存发送接收数据。 其次,我们需要实现以太网通信的协议层面。以太网通信协议使用的是CSMA/CD(Carrier Sense Multiple Access with Collision Detection)协议。在Verilog代码中,我们可以使用状态机来实现该协议。状态机可以通过定义不同的状态以及不同的转移条件来决定何时发送数据接收数据,以及如何处理冲突和错误。 在以太网通信的Verilog代码中,我们需要实现发送接收数据的功能。发送数据时,我们需要将要发送数据通过数据信号传送到以太网接口,同时发送控制信号控制发送的时机和流程。接收数据时,我们需要监听数据信号,接收数据后将其存储到接收缓冲区中,并通过控制信号判断接收数据是否正确。 最后,我们还需要实现以太网通信数据处理功能,例如数据的封装和解封装、校验和的计算和校验、错误的处理等。这一部分的实现与具体的以太网协议和数据格式相关,在Verilog代码中可以使用适当的模块或函数来实现这些功能。 综上所述,以太网通信的Verilog代码主要包括硬件层面和协议层面的实现。硬件层面包括定义信号和寄存器,协议层面包括实现状态机、发送接收数据以及数据处理功能。通过编写这些代码,我们可以实现以太网通信功能,实现计算机间的数据传输。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值