iverilog 提示 Unknown module type 解决办法

官网上是这么说的:
在这里插入图片描述
就是说如果iverilog找不到你的module的话,就加一个-y 参数,并在后面跟上你要搜索的文件路径即可。
举个例子,你写了个testbench.v,在里面例化了source1.v模块,在编译testbench时编译器提示找不到source1.v模块,该怎么办呢?
假如该模块在Users/local/code/src 文件夹里,那么就可以输入
iverilog -y Users/local/code/src testbench.v

另外,直接include也不失为一种方法,还是看上面的例子,可以在testbench里加上`include Users/local/code/src/source1.v。不过如果你的项目比较大的话,总是加include也不太方便。

最后,如果你的代码里用到了IP核,还是老老实实用vivado编译吧,目前iverilog还识别不了IP核,而且即使加了-y和文件路径也找不到对应的ip module(我没有试成功🥲,看github上讨论的也说不行,如果可以识别ip核的话欢迎在评论区指出)。

(官网链接:https://iverilog.fandom.com/wiki/Main_Page)

  • 6
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值