VerilogHDL二分频代码

VerilogHDL二分频代码

①二分频代码

module FP2(
input clk,
output reg clk_s
);
initial
clk_s <= 1'b0;              //初始化
 always @(posedge clk)      //时钟上升沿敏感
 begin
   if(clk == 1'b1)           //当时钟为高电平
     clk_s <= ~clk_s;        //二分频输出翻转
 end
endmodule

②测试文件代码

`timescale 1ns/1ps
module FP2_TEST;
  reg clk;
  
  initial
  begin
    clk = 0;                 //初始化时钟输入为0
  end
  
  always #20 clk = ~clk;    //设置输入时钟,频率为25Mhz
  
  FP2 U1(
  .clk(clk),
  .clk_s(clk_s)
  );
endmodule

③仿真波形
在这里插入图片描述

  • 10
    点赞
  • 74
    收藏
    觉得还不错? 一键收藏
  • 4
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值