verilog实现二分频

`timescale 1ns / 1ps
//
// Company: 
// Engineer: 
// 
// Create Date: 2022/10/18 19:23:07
// Design Name: 
// Module Name: dev_2
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
// 
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
//


module dev_2(
    input clk,
    input rst_n,
    
    output out_clk
    );
    
    reg tmp;
    
    always@(posedge clk or negedge rst_n) begin
        if(!rst_n) begin
            tmp<=0;
        end
        else
            tmp<=~tmp;
    end
    assign out_clk=tmp;
endmodule

测试文件:

`timescale 1ns / 1ps
//
// Company: 
// Engineer: 
// 
// Create Date: 2022/10/18 19:25:56
// Design Name: 
// Module Name: tb_dev_2
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
// 
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
//


module tb_dev_2();
    
    reg clk;
    reg rst_n;
    wire out_clk;
    
    initial begin
        clk=0;
        rst_n=0;
        #5
        clk=1;
        rst_n=1;
        
    end
 always #5 clk=~clk;
    
dev_2 u0(
   .clk(clk),
   .rst_n(rst_n),
   
   .out_clk(out_clk)
    );
    
endmodule

结果:
在这里插入图片描述

--晓凡 20221018日于桂林书
  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值