Tool
文章平均质量分 79
元直数字电路验证
天地本無心,生民自有命,往聖無絕學,萬世不太平。硅農,因bug而生,與bug為伴,以debug為生。
展开
-
珠算口诀大全
算盘是中国人在使用算筹的基础上发明的,迄今为止已有2600多年的历史,随着算盘的使用,人们总结出许多计算口诀,使计算的速度更快了。这种用算盘计算的方法,叫珠算。本文将介绍常用的准算口诀。原创 2023-03-05 13:48:38 · 7941 阅读 · 0 评论 -
[VCS]Coverage Options Introduction
VCS仿真中,可以产生以下两类coverage:code coverage和function coverage,对于code coverage,在编译和仿真需要加额外参数。对于function coverage,编译和仿真不需要加额外参数,本文将详细介绍VCS coverage相关的option。原创 2023-01-31 09:25:34 · 1813 阅读 · 0 评论 -
[VCS]Condition coverage vs. branch coverage
条件覆盖测试是一种白盒测试,用于测试程序中所有条件表达式的所有可能结果。它也称为谓词覆盖。那么条件覆盖率和分支覆盖率有什么区别呢?在分支覆盖中,所有条件都必须至少执行一次。另一方面,在条件覆盖中,所有条件的所有可能结果必须至少测试一次。原创 2023-01-18 15:26:29 · 2156 阅读 · 1 评论 -
[P4]Perforce (p4) Branching and Integration
In the course of software development, webranchfiles to do concurrent, parallel work on them, and weintegratefiles to combine the results of such work. In this chapter, we’ll look at how to do branching and integrationwith Perforce.翻译 2022-10-21 15:42:40 · 916 阅读 · 0 评论 -
[P4]源码管理 - perforce(p4)的分支与集成
p4的分支创建和集成过程主要包含4个步骤:(1) 使用‘p4 branch’创建branch spec;(2)使用’p4 integrate‘来实现分支间的集成;(3)使用‘p4 resolve’来完成冲突的合并;(4)使用‘p4 submit’提交集成的结果。如下为在p4v中的操作。转载 2022-10-21 10:38:43 · 2817 阅读 · 0 评论 -
[P4]Perforce (p4) Command Line: Tips and Tricks
P4命令行操作常用的命令:Perforce (p4) Command Line: Tips and Tricks原创 2022-10-20 20:30:53 · 473 阅读 · 0 评论 -
[Tool]分享一款好用的截频、截图、贴图工具
分享一款好用的截频、截图、贴图工具:Snipaste 是一个简单但强大的贴图工具,同时也可以执行截屏、标注等功能。一、基础操作Snipaste 是一个简单但强大的贴图工具,同时也可以执行截屏、标注等功能。1.1截屏开始截图 快捷键(默认为F1) 鼠标左键单击托盘图标何谓一次成功的截图 保存到剪贴板 (/Ctrl+C/Enter/双击截屏区域) 保存到文件 (/Ctrl+S) 保存到贴图 (/Ctrl+T) 快捷保存 (Shift+/Ctrl+Shif...原创 2022-10-04 09:51:58 · 575 阅读 · 3 评论 -
Jenkins详细教程
Jenkins是一个开源的、提供友好操作界面的持续集成(CI)工具,起源于Hudson(Hudson是商用的),主要用于持续、自动的构建/测试软件项目、监控外部任务的运行(这个比较抽象,暂且写上,不做解释)。因为上面的build 并没有包含这个target,所以默认情况下,执行build是不会打包文件的,所以在jenkins project配置界面,Ant构建那一步的targets,我们才会有"build" 和 "tar" 这两个targets。点击左边的"立即构建" 手动触发构建。有疑问欢迎在下方留言。转载 2022-09-26 16:42:36 · 428 阅读 · 0 评论 -
[VCS]后仿真中的几个基本概念
后仿真中的几个基本概念原创 2022-09-09 09:56:27 · 2331 阅读 · 0 评论 -
[VCS]数字电路门级仿真及SDF反标
从概念上来说,数字验证包含两方面的内容,一个是验证功能,另一个是验证时序。对应的仿真模型(不论是model,standard cell等)也不外乎这两个部分,功能部分由逻辑,udp元件或gate构成,时序部分则包括了时序反标和时序检查两小块。平时我们所说的功能验证,也就是前仿真,实现了对功能进行验证的目的,时序被默认为理想情况,不包含延迟信息。门级仿真,也称为后仿真,除了功能验证外,最主要就是用来检查时序是否满足,有没有时序违例的情形。门级仿真根据网表不同,又可以分为综合后仿真,以及pnr后仿真。转载 2022-09-08 23:45:27 · 2212 阅读 · 1 评论 -
[VCS]门级仿真及SDF反标
相对于RTL仿真,门级仿真占用的计算资源虽然很多,但是在静态时序检查(STA)工具普遍应用之前,带时序的动态门级仿真几乎可以说是唯一的timing sign-off手段了。 那么在STA工具已经成熟的现在,门级仿真还有存在的必要吗?为什么有些公司的设计流程中还包括门级仿真这个必需的步骤呢?转载 2022-09-08 23:17:23 · 7516 阅读 · 1 评论 -
[P4]P4如何把一个文件退回到旧版本
P4如何把一个文件退回到旧版本摘要:P4是常用的版本管理工具之一。在P4中,如果我们误传了一个版本,该怎么回退呢?一、专业做法加入误传的文件@CL:12345,那么需要执行如下2条命令:p4 undo @12345p4 submit以上2条命令会把@CL12345中的文件回退到之前的版本,即撤销此次上传。 如果只想回退@CL12345中的riscv_ptg_rtl.sv该怎么办?需要3步p4 undo @12345p4 submit riscv_ptg_rtl.sv原创 2022-03-15 22:22:49 · 6466 阅读 · 0 评论 -
[Linux]Linux中自动切换项目账户
Linux中自动切换项目账户摘要:在Linux中,我们可能经常需要切换项目账户,需要用su命令,并输入密码,如果是要开多个Terminal的话,就需要多次输入,很麻烦。今天分享一个小脚本,一条命令搞定账户切换。一、脚本源码#!/usr/bin/expectspawn su - dv_60842expect "Input Passward:"send "123456\r"interact二、使用方法 假如上述脚本在lgsoc这个文件中,位于HOME目录...原创 2022-03-12 11:49:35 · 1887 阅读 · 0 评论 -
[VCS]VCS Debug option对仿真效率的影响
VCS Debug option对仿真效率的影响一、VCS Debug Option二、对仿真速度的影响原创 2022-02-12 17:28:42 · 1152 阅读 · 1 评论 -
[VCS]提高与 X 态相关的仿真和调试的效率
提高与 X 态相关的仿真和调试的效率Verilog 和 VHDL 通常用于对数字设计进行建模。设计人员使用 RTL 构造来描述硬件行为。但是,某些 RTL 仿真语义不足以准确模拟硬件行为。因此,与实际的硬件行为相比,仿真结果要么过于乐观,要么过于悲观。由于这些语义限制,Verilog 和 VHDL RTL 模拟器忽略了 X 值控制信号的不确定性,并分配了可预测的输出值。因此,RTL仿真通常无法检测到与缺乏X传播相关的设计问题。然而,这些相同的设计问题可以在门级仿真中检测到,并且通常必须运行许多栅翻译 2021-11-26 12:25:56 · 1703 阅读 · 0 评论 -
[P4]Perforce使用教程(Command Line)
Perforce使用教程(Command Line)一、P4常用命令对照表No. P4 Command Description Comment 00 p4 add Open a new file to add it to the depot 01 p4 admin Perform administrative operations on the server 02 p4 annotate ...原创 2021-10-14 11:20:53 · 2143 阅读 · 0 评论 -
[vim]配置你的vim之.vimrc的必要设定
配置你的vim之.vimrc的必要设定一、提高工作效率的必要设定syntax onset numberset rulerset hlsearchset tabstop=4set softtabstop=4set backspace=2set history=1500set autoindentset showmatchset cursorline cursorcolumnset guifront=Monospace\ 14color...原创 2021-07-04 18:53:23 · 361 阅读 · 2 评论 -
[csh]配置你的csh/tcsh
配置你的csh/tcsh一、配置文件全局配置文件 /etc/csh.cshrc 个人配置文件 ~/.cshrc或~/.tcshrc为了方便,建议修改全局性的配置文件,这样每个账号都可以享受便利。二、按键绑定 通常,我们不设置按键绑定也能很好地工作,但是对于远程登录,可能需要一些额外的配置。比如,为了避免putty登录后,Home、End、Delete等变成~,你需要如下配置:bindkey '\e[1~' begi...原创 2021-07-02 08:55:38 · 4403 阅读 · 1 评论 -
Git常用命令及操作方法总结
Git常用命令及操作方法总结git branch 查看本地所有分支git status 查看当前状态git commit 提交git branch -a 查看所有的分支git branch -r 查看本地所有分支git commit -am "init" 提交并且加注释git remote add origin git@192.168.1.119:ndshowgit push origin master 将文件给推到服务器上git remote show origin 显...原创 2021-06-23 21:03:53 · 365 阅读 · 0 评论 -
[P4V]P4常用命令总结及脚本化操作
P4常用命令总结及脚本化操作一、拿到最新的文件p4 sync //data_top/...二、上传文件到服务器原创 2021-04-21 22:27:28 · 5150 阅读 · 0 评论 -
[VCS]在Verdi中找出Glitch
VCSDebug simulation hang 前言:在验证RTL过程中,我们可能会遇到仿真Hang住的情况,那么怎么去定位到RTL中呢?一、Zero delay loop导致的Simulation Hang需要加VCS Option:+vcs+loopreport +vcs+loopdetect +fsdb+glitch=0 +fsdb+region二、找出Glitch对仿真结果的影响 添加VCS Option...原创 2021-04-17 15:09:39 · 9427 阅读 · 1 评论 -
[DV]如何找出击中某个cover bins的testcase?
如何找出击中某个Cover bins的Testcase 前言:在数字电路验证中,我们通常是通过coverage分析来评价验证工作是否完备(相对完备)。在验证工作进行的过程中,我们也需要在Wavefrom上面确认某个coverpoint或者cover property是否真的击中了。那么我们怎么通过已经击中的cover bins来找到对应的Wavefrom呢?本文将介绍一种方法。一、需要再merge vdb的时候添加Option在me...原创 2020-12-29 18:24:04 · 1603 阅读 · 2 评论 -
[Verilog]CRC/Scrambler/LFSR Counter代码生成器
CRC/Scrambler/LFSR Counter代码生成器一、代码生成器链接http://outputlogic.com/二、工具链接CRC Generate Scrambler Generate LFSR Generate原创 2020-12-10 12:04:04 · 1568 阅读 · 1 评论 -
时序图绘制工具 --- TimeGen使用方法总结
TimeGen使用方法总结 前言:TimeGen是一款专门用于绘制时序图的软件,绘图后可直接选中复制到WORD文档中就是矢量图,能够快速生成时序图,再也不用使用visio或者word画时序图了。一、TimeGen功能介绍具有矩形或圆形边缘的轮廓文本框 要选择多个文本框的能力(按住Ctrl键),或按Ctrl + A TimeGen官方版支持更改所有选定的文本框的字体或大小与一个命令 按Alt键的同时使用箭头键精细控...原创 2020-12-07 19:55:21 · 19102 阅读 · 3 评论 -
[Verdi]Verdi command --- UCLI
Verdi command --- 命令行控制Verdi 前言:Verdi单步调试该怎么用?Verdi怎么dump Wavefrom?诸如此类的问题其实都可以通过ucli(Unified Command-line Interface)来实现。UCLI为Synopsys验证产品提供一组通用命令,通过UCLI你可以执行任意TCL(Tool Command Language)命令。本文将介绍几个ucli使用的案例。一、参考手册 uc...原创 2020-11-05 19:12:37 · 5529 阅读 · 0 评论 -
Verdi APP简介 --- 常用Verdi App使用案例总结
Verdi APP简介 --- 常用Verdi App使用案例总结 前言:Verdi APP是一组轻量级的小工具,其实就是一系列的脚本,可以帮我们抽出Design中的某些的定的内容,比如:IO、Hierarchy、Register Value等。本文就列举一些Verdi APP的使用案例。一、Verdi APP Overview1.1 Verdi APP可以做什么?Design Manipulation▪PortTieConst / ...原创 2020-10-31 09:28:29 · 2559 阅读 · 0 评论 -
Verdi Utility使用总结
Verdi Utility使用总结一、Verdi Utility1.1.how to submit job to run verdi utility?If design is big, need submit job to run verdi utility CMD:utilq <verdi_utility> [options]1.2.fsdbdebugFor dumping the...原创 2020-10-24 10:11:12 · 1386 阅读 · 0 评论 -
Coverage分析工具UNR的使用方法总结
Coverage分析工具UNR的使用方法总结module loadsameversion VC/verdi/VCSMX copyUNR folderinto local copy your simulation passed file list under nobackup namedlist_verdi.finto local floder; 4. copy a coverage data base into local...原创 2020-09-30 17:50:34 · 1238 阅读 · 0 评论 -
[Questa]vsim仿真小程序
[Questa]vsim仿真小程序 前言:本文总结一下使用Menter的EDA工具:Questa进行仿真的方法。基本步骤是分两步,分别是编译和仿真。一、编译命令基本编译命令vlog -64 -sv -ignoresvkeywords -mfcu -asm 其他辅助选项my $command_elba = "vlog -64 -sv -ignoresvkeywords -mfcu -asm ";$comm...原创 2020-07-11 11:54:52 · 2555 阅读 · 0 评论 -
[VCS]Condition Coverage收不全的问题及解法
Coverage Options In Compile/Simulation一、Common option Option description -cm line+cond+fsm+tgl+branch+assert line : Enable collecting line or statement coverage cond :Enable collectingcon...原创 2020-07-06 22:01:42 · 7459 阅读 · 2 评论 -
[VCS]VCS编译及仿真时间分析及优化方法
VCS编译及仿真时间分析及优化方法 前言:VCS提供了一套对编译时间和仿真时间分析优化的工具,针对不同的env,可能时间消耗占比不太一样,通过simulation profile information分析可以为加速提供帮助。本文将介绍该工具的用法。一、Compile & Simulation Efficiency1.1、使用simprofile 示例compile option: -lca -simprof...原创 2020-07-06 11:12:23 · 10366 阅读 · 1 评论 -
[Verdi]Verdi使用方法技巧总结
Verdi使用方法技巧总结一、下载波形(Dump fsdb)1.1、基本用法$fsdbDumpfile(file_name, file_size(MB)) $fsdbDumpvars(depth, instance, options)参数介绍:Depth:0: all signals in all scopes. 1: all signals in current scope. 2: all sig...原创 2020-06-25 17:27:18 · 10281 阅读 · 1 评论 -
[xrun]Cadence Xcelium仿真环境搭建及常用Option总结
Cadence数字电路验证仿真工具IUS和IES 前言:Cadence,有两大验证仿真工具。一个是IUS,一个是IES。IUS是cadence以前的仿真工具,功能略弱。代表工具,ncverilog。官方介绍:IUS(incisive unified simulator)Cadence IUS allows to perform behavioral simulation on Verilog and VHDL code. IES是ca...原创 2020-06-25 14:16:33 · 17602 阅读 · 1 评论 -
[Linux]Linux打開world、Excel、pdf文檔,常用Linux命令總結
Linux打開world、Excel、pdf文檔 ---- 常用Linux命令總結一、在Linux中打開world文檔(需要安裝openoffice)1.1.打开或编辑.doc .odt等文本文档命令:openoffice.org -a 文件名.doc &1.2.打开演示文件命令:openoffice.org -g 文件名.... &am...原创 2020-05-21 19:43:48 · 7553 阅读 · 0 评论 -
[VIM]VIM用户自定义關鍵詞语法高亮
VIM用户自定义语法高亮一、基本语法命令 利用一个已经存在的语法文件可以节省很多时间。首先看 $VIMRUNTIME/syntax 目录下是 否存在一种类似语言的语法文件。这些文件同时可以让你了解语法文件的一般布局。为了 进一步理解,你需要阅读以下的部分。 让我们先从简单的命令开始。在定义新的语法之前,我们需要清除旧的定义::syntax clear这在最终的语法文件中不是必须的,但在我们试验时却很有用。 本章有很多简化。...原创 2020-05-19 19:03:47 · 1281 阅读 · 0 评论 -
使用Cadence AMS仿真器做數模混合仿真
使用Cadence AMS仿真器做數模混合仿真一、本文轉自https://blog.csdn.net/mymatin1004/article/details/98804521二、转载 2020-05-08 22:24:42 · 15484 阅读 · 8 评论 -
[VIM]VIM/GVIM中代码的折叠和打开
前言:在查看代码的时候,如果代码十分冗长,尤其是c/c++系列的时候,代码结构比较让人难以理清,主要是各种注释、括号的匹配问题,虽然可以用%来查看匹配的另外一半符号在哪里,但是如果这一对符号之间的代码超过了一页,甚至好几页的话,那么也是比较难以理解的。于是可以使用这个vim所提供的折叠功能来简化这些分析。 我使用的vim是rh9自带的版本。折叠的方式:vim 提供 6中...转载 2020-03-25 20:34:13 · 10381 阅读 · 0 评论 -
[VCS]VCS常用命令詳解
下表所列為VCS常用的命令。原创 2020-03-04 19:35:53 · 38258 阅读 · 4 评论 -
[VCS]如何利用小程序try Verilog語法?用VCS仿真SystemVerilog小程序
前言:在開發中,我們經常會遇到自己的理解與仿真器的理解不一致的情況,此時我們可以寫一個小的module,通過VCS仿真來驗證我們對Verilog語法的理解是否正確。本文將分享一個Try Verilog語法的例子。 例如:我們想驗證一個帶下拉電阻的Path,在Input端沒有驅動的情況下,Output是邏輯0還是Hiz,此時可以寫如下module進行測試:m...原创 2019-12-31 11:33:24 · 657 阅读 · 0 评论 -
[Verdi]如何在Verdi中顯示字符串 --- 如FSM跳轉的狀態
前言 Q:如何在Wavefrom中顯示字符串呢,如FSM的跳轉狀態? A:可以先拉出Status信號,然後在電路Verdi主頁面點擊生成Symbol的符號,即可顯示為字符串。...原创 2019-11-02 11:57:20 · 2113 阅读 · 0 评论