VHDL顶层调用Verilog模块(转)

VHDL调用Verilog模块的时候,要在实例化模块前,加上“verilogmodelGM: ”
VHDL调用verlog:

verilog module:     //Verilog 底层文件里的端口声明
module m(a,b,c);
input a,b;
output c;
...
endmodule
 
                                                   
调用如下:           
compoent m          --VHDL 顶层文件里的原件例化
port(
                        a: in std_logic;
                        b: in  std_logic;
                        c: out std_logic
                      );
end compoent


begin
                                 --VHDL顶层文件里的映射
verilogmodelGE: m          
                          //(我自己实验的不用也可以,用了反而有错误,估计是软件变聪明了~)--对这句话保留意见 zjw       
port map
(...
)
...
end

在VHDL里调用Verilog的话:例化+映射
在Verilog里调用VHDL的话:只要映射
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值