FPGA学习笔记
差分信号缓冲器,用于不同电平接口之间的缓冲和转换。
IBUFDS差分输入模块定义;
OBUFDS差分输出模块定义;
器件原语
时钟:create_clock -name <clock_name> -period [get_ports ]
差分时钟只需创建一个p时钟,单位:ns
FPGA学习笔记
最新推荐文章于 2024-05-03 17:11:02 发布
FPGA学习笔记
差分信号缓冲器,用于不同电平接口之间的缓冲和转换。
IBUFDS差分输入模块定义;
OBUFDS差分输出模块定义;
器件原语
时钟:create_clock -name <clock_name> -period [get_ports ]
差分时钟只需创建一个p时钟,单位:ns