自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(23)
  • 资源 (6)
  • 收藏
  • 关注

原创 计算机组成原理:最详细笔记

前言参考:《王道计算机组成原理》学习笔记总目录+思维导图2019 王道考研 计算机组成原理第一章 计算机系统概述1.1 计算机发展历程1.1.1 计算机硬件的发展计算机系统=硬件+软件计算机硬件的发展:第一代计算机:(使用电子管),第二代计算机:(使用晶体管),第三代计算机:(使用较小规模的集成),第四代计算机:(使用较大规模的集成),已经经历了4代,计算机的速度越来越快,并且体积变得越来越小。发展趋势:更微型、多用途;更巨型、超高速......

2021-01-21 14:26:44 376742 112

原创 verilog练习:hdlbits网站上的做题笔记(4)

前言之前的文章《如何学习verilog,如何快速入门?》中提到了verilog学习,推荐了一个可以练习的网站:hdlbits网站,那自己也玩玩这个网站。这篇文章,是接着《verilog练习:hdlbits网站上的做题笔记(3)》写的!3. Circuits3.1 Combinational Logic3.1.1 Basic Gates3.1.1.1 Wire(Exams/m2014 q4h)module top_module ( input in, output

2021-01-31 13:25:07 5399 5

原创 《破解数字VLSI验证面试》翻译计划——第二章

前言本文基于《破解数字VLSI验证面试》翻译计划——综述展开翻译的!第一章:数字逻辑设计

2021-01-30 09:07:20 807

原创 《破解数字VLSI验证面试》翻译计划——第一章

前言之前一直在追更摸鱼范式公众号的每日两题,最近发现摸鱼范式公众号发布的这个模块,出自下面这本书。(之前在追每日两题的时候,有好些比较模糊,打算从头看这一本书,顺便尽可能的翻译本书!)第一章:数字逻辑设计理解数字逻辑设计的基本原理是执行VLSI行业任何工作的基本技能。因此,无论面试是针对ASIC设计工作、验证工作还是任何后端设计或布局工作,测试逻辑设计技能的问题都是面试的重要部分。因此,这是在超大规模集成电路(VLSI)工业中获得工作的最基本和最重要的课题。本节列出了访谈中最常见的一些问题,并给出了答

2021-01-29 19:45:08 2394

原创 《破解数字VLSI验证面试》翻译计划——综述

前言之前一直在追更摸鱼范式公众号的每日两题,最近发现摸鱼范式公众号发布的这个模块,出自下面这本书。(之前在追每日两题的时候,有好些比较模糊,打算从头看这一本书,顺便尽可能的翻译本书!),可点击《Cracking Digital VLSI Verification Interview:Interview Success》免费获取pdf文档!...

2021-01-29 19:42:34 634

原创 verilog练习:hdlbits网站上的做题笔记(3)

前言之前的文章《如何学习verilog,如何快速入门?》中提到了verilog学习,推荐了一个可以练习的网站:hdlbits网站,那自己也玩玩这个网站。这篇文章,是接着《verilog练习:hdlbits网站上的做题笔记(2)》写的!2.5 More Verilog Features2.5.1 Conditional ternary operator(Conditional)找出四个数中最小值,提示的是五行代码!module top_module ( input [7:0

2021-01-29 18:35:07 2754 2

原创 verilog练习:hdlbits网站上的做题笔记(2)

前言之前的文章《如何学习verilog,如何快速入门?》中提到了verilog学习,推荐了一个可以练习的网站:hdlbits网站,那自己也玩玩这个网站。今天这篇文章,本来是接着昨天的《verilog练习:hdlbits网站上的做题笔记(1)》写的,结果快写完了,一刷新,全部没了,又重新来了一遍(手动狗头)!2.3 Modules: Hierarchy2.3.1 Modules(Module)module top_module ( input a, input b, output ou

2021-01-28 20:36:19 3618 1

原创 联发科 IC校招笔试题目

前言后面,打算整理下数字ic笔试面试题目,希望以此为出发点,补充知识点!声明:个人觉得,学习期间,基本上都是知识的搬运,所以本博客所有内容都可以被大家引用!为了大家方便引用,博客内的图片都没有加博客的水印(除非不是自己的或者没看到)!这篇文章会基于下面这些文件整理,并参考英伟达(Nvidia)IC设计校招笔试题讲解,希望大家有所了解。7/10/11题的代码以及本文的ppt,请点击链接下载!联发科技关于联发科技:联发科技为全球第四大无晶圆半导体公司,我们所研发的芯片一年驱动超过15亿台智能

2021-01-27 20:41:09 7697

原创 verilog练习:hdlbits网站上的做题笔记(1)

前言之前的文章《如何学习verilog,如何快速入门?》中提到了verilog学习,推荐了一个可以练习的网站:hdlbits网站,这篇文章打算自己玩玩这个网站。1.Getting Started1.1 Getting StartedWe want to assign 1 to the output one.module top_module( output one );// Insert your code here assign one = 1'b1;endmodule

2021-01-27 16:18:42 7328

原创 NVIDIA IC校招笔试题目

前言后面,打算整理下数字ic笔试面试题目,希望以此为出发点,补充知识点!声明:个人觉得,学习期间,基本上都是知识的搬运,所以本博客所有内容都可以被大家引用!为了大家方便引用,博客内的图片都没有加博客的水印(除非不是自己的或者没看到)!这篇文章会基于下面这些文件整理,并参考英伟达(Nvidia)IC设计校招笔试题讲解,希望大家有所了解。7/10/11题的代码以及本文的ppt,请点击链接下载!NVIDIA介绍NVIDIA(网申向所有学校开放)招聘岗位及要求笔试题1. 什么是

2021-01-27 11:42:58 3016 3

原创 DVT Eclipse集成开发环境

V2Pro模块验证全流程1DVT Eclipse集成开发环境验证工作:零构建验证环境准备验证计划、实现测试用例、收集覆盖率;学会使用更真实且复杂的工作环境、工具。它们包括:一款更优秀便利的 SV/UVM集成开发环境,而不再只是一款编辑器一份真正完整的验证计划表格去拆分功能点,并将覆盖率和测试用例进去商业的寄存器模型管理工具去理解一致性数据在IC流程中的重要性。一个图形化的回归测试管理工具去帮助你提交测试用例、统计测试结果、合并覆盖率以及完成与验证计划表格之间的映射和报告。在深入学习了U

2021-01-26 20:19:40 10330 18

原创 SVT APB VIP

前言之前自己做了AHB_APB和APB_UART,深刻认识到agents,就是根据AMBA协议写的,称为VIP。这篇文章就简单列出APB_VIP的相关介绍。介绍APB VIP支持验证SoC设计,其中包括实现APB规范的接口,该VIP可在UVM测试平台中的使用,且可提供:协议功能和抽象受约束的随机验证功能覆盖可快速创建复杂的测试模块化测试平台架构,可提供最大的重用性,可扩展性和模块化经过验证的验证方法和方法事务级模型自检测试面向对象接口协议特性APB VIP当前支持以下协议功

2021-01-26 10:06:37 11199 3

原创 亚稳态及跨时钟域处理

前言本文基于《数字电路中的亚稳态产生原因和处理方法》、《FPGA中的亚稳态》整理所得,虽然这两篇只是针对亚稳态,实质上是在谈论跨时钟域处理,可点击跳转查看之前的文章《CDC:跨时钟域处理》。1. 亚稳态1.1 什么是亚稳态?怎么产生的?不能满足目的寄存器的建立时间和保持时间。在数字电路设计中,如果数据传输中不满足触发器的Tsu和Th不满足,或者复位过程中复位信号的释放相对于有效时钟沿的恢复时间(recovery time)不满足,就可能产生亚稳态,此时触发器输出端Q在有效时钟沿之后比较长的一段时

2021-01-25 18:54:20 1579

原创 verilog学习:加法器

备战秋招-手撕代码篇手撕代码verilog-任意整数分频异步FIFO(二)——手撕代码【老邱数电】半加器与全加器基础单片机功能这么强,为什么还要组合逻辑器件?数字电路中全加器是什么原理,专用的加法器芯片有哪些...

2021-01-25 10:06:24 20740 3

原创 verilog学习:使用VCS仿真验证一个全加器

前言:昨天对四位寄存器进行了验证,今天还想继续找个实例继续仿真一下,看能不能搞出波形文件。1.design全加器的设计代码见下://full_adder.vmodule full_adder(//module head; verilog-2001 formatinput wire a_in,input wire b_in,input wire c_in,//carry inoutput wire sum_out,output wire c_out//carrry out);//

2021-01-24 10:50:55 2909

原创 verilog学习:一个简单的入门verilog例子

前言之前的文章《如何学习verilog,如何快速入门?》中提到了verilog学习,只想传输一个观点:刚开始学习的时候,建议直接通过视频学习,当您有收获时,再去查阅书籍,把它们当成工具书。这篇文章就通过上一篇文章中提到的方法,演示一下!本文基于【开源骚客】FPGA超详细入门视频教程学习所得,简单做个笔记!00. FPGA开发软件的安装在高校,verilog的教学大都是基于FPGA学习的,所以学习资源很丰富,我们就可以从这个方向入手!而FPGA学习需要一个软件,下面这就请您去安装软件,前面提到

2021-01-24 08:52:10 9101 1

原创 如何学习verilog,如何快速入门?

前言害怕真的有人不知道verilog是什么东西,于是就给把百度给搬来了!Verilog HDL是一种硬件描述语言,以文本形式来描述数字系统硬件的结构和行为的语言,用它可以表示逻辑电路图、逻辑表达式,还可以表示数字逻辑系统所完成的逻辑功能。 Verilog HDL和VHDL是世界上最流行的两种硬件描述语言,都是在20世纪80年代中期开发出来的。前者由Gateway Design Automation公司(该公司于1989年被Cadence公司收购)开发。两种HDL均为IEEE标准。之前的文章《IC前端

2021-01-22 11:07:31 7917 1

原创 龙芯:国产芯片

前言国产芯片供应商有哪些?在图书馆看到一本书《龙芯·电脑使用解析》,红色的封面博人眼球,那就拜读一下。龙芯中科简介通用处理器是信息产业的基础部件,是电子设备的核心器件。通用处理器是关系到国家命运的战略产业之一,其发展直接关系到国家技术创新能力,关系到国家安全,是国家的核心利益所在。“龙芯”是我国最早研制的高性能通用处理器系列,于2001年在中科院计算所开始研发,得到了中科院、863、973、核高基等项目大力支持,完成了十年的核心技术积累。2010年,中国科学院和北京市政府共同牵头出资,龙芯中科技术

2021-01-21 18:45:35 791

原创 IC前端数字验证导学

写在前面:2020年9月1日,就是研究生入学教育了,这个教程应该不会更新了,只要把这个教程里面的涉及的东西弄熟悉,进入芯片行业应该不是问题,希望自己可以为中国芯崛起贡献力量!共勉下面两个链接是知乎上对IC入门的认知:https://www.zhihu.com/question/355840366https://www.zhihu.com/question/343469193uvm 与 system verilog的理解UVM平台搭建小编建议:先通过fpga学习下数字电路、Verilog,再进行

2021-01-21 17:30:09 10175 7

原创 MCU前端设计简单记录

第二讲 ARM架构一 、Cortex M3内核概述Cortex M3 Vendor-ARM介绍Cortex M3处理器整体架构Cortex M3处理器内核特性Cortex N3嵌套向量中断Cortex N3MPU保护单元Cortex M3总线接口Cortex N3低成本调试接口1. Cortex M3 Vendor-ARM介绍摩托罗拉很贵,ARM公司就借助精简指令集,后来和苹果、Acorn和LSI三家公司成立了Advance RSIC Machine公司,不生产芯片!与X86相

2021-01-14 11:44:57 3630 6

原创 21天设计Arm芯片|移知公开课

前言网易云课堂或者移知官网01.21天设计Arm芯片|MCU芯片概述成果一:MCU参考设计always on逻辑大了?大部分的MCU只需要几十M的工艺,低功耗,所以不希望主频高!I/O摆放:需要后端和前端讨论01 MCU市场概述02 Cortex-M3 MCU项目整体介绍03 Cortex-M3 DesignStart的使用04 如何快速实现Cortex-M3 MCU05 MCU项目改进和完善GigaDevice:MCU对STM3

2021-01-10 17:34:32 4355 2

原创 X86与ARM架构演变过程对比

前言本文基于X86与ARM架构整理所得!X86架构演变1.早期计算机时代2.总线隔离3.486时代4.现代计算机总线升级、cpu频率上升ARM架构演变过程AMBA2.0总线AMBA3.0总线对比分析总线作用:为了频率同步、提升性能– 低速设备通过控制器连接总线、CPU通过倍频与总线通信工作– RAM、显卡高频率、大数据量模块挂高性能主线上– 串口、打印机、12C等低速设备挂低速总线上X86总线在CPU外ARM总线在S0C内部...

2021-01-09 12:09:31 591

原创 VCS常用选项

推荐点击查看:VCS软件使用步骤-help //vcs帮助,有各编译选项意义;-full64 //以64位模式编译设计并创建64位可执行文件用于64位模式下的模拟;-vpi //允许使用vpi PLI访问例程;-sverilog //允许在Accellera systemVerilog规范中使用Verilog语言扩展;-v2k //使用Verilog 1364-2001标准;-cpp //使用c++编译器;-debug_pp //允许转储到VPD并使用UCLI命令和DVE

2021-01-07 07:38:09 2100

计算机组成原理:最详细笔记 word格式下载

https://blog.csdn.net/haojie_duan/article/details/112739522 有很多人私聊我发送上面这篇博文的打印版,自己在考研时使用,现在把它上传到此处,欢迎大家享用

2023-09-05

计算机组成原理:最详细笔记 md格式下载

https://blog.csdn.net/haojie_duan/article/details/112739522 有很多人私聊我发送上面这篇博文的打印版,自己在考研时使用,现在把它上传到此处,欢迎大家享用

2023-09-05

Cmake 实践文档笔记

这个文档是开放的,开放的目的是为了让更多的人能够读到并且能够修改,任何人都 可以对它作出修改和补充,但是,为了大家都能够获得你关于 cmake 的经验和积累,如果 你现错误或者添加了新内容后,请务必 CC 给我一份,让我们共同把 cmake 掌握的更好。

2022-08-01

电子词典——系统编程IO操作(c语言实现)

系统编程IO操作 之 电子词典 c语言实现电子词典: 介绍见链接:https://blog.csdn.net/haojie_duan/article/details/122795535

2022-02-06

第一章第2节:Qt环境配置与验证实验.pdf

第一章第2节:Qt环境配置与验证实验.pdf

2021-10-07

ahb_sram简单设计源码

ahb_sram简单设计源码;

2020-12-18

基于AHB总线SRAM控制器 的设计及优化

#基于AHB总线SRAM控制器 的设计及优化

2020-12-17

Linux_unix常见命令汇总(可打印) .zip

linux_unix常见命令汇总,希望对广大网友学习有所帮助! linux_unix常见命令汇总,希望对广大网友学习有所帮助! 后期长传资源全部免费

2020-08-16

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除