计算机组成原理 实验一 VHDL BCD码

考察的是算法啊!!!老师的算法好简练!!!
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity bcd is

	port 
	(
		a,b		: in std_logic_vector(7 downto 0);
		s		: in std_logic_vector(7 downto 0);
		co		: out std_logic
	);

end entity;

architecture rtl of bcd is

	signal al,bl,sl,ah,bh,sh: std_logic_vector(4 downto 0);

begin

	process (a,b)
	begin
		al <= '0' & a(3 downto 0);
		bl <= '0' & b(3 downto 0);
		sl <= al + bl;
		
		if sl > "01001" then
			sl <= al + bl + "0110";
		end if;
	end process;

	process (a,b)
	begin
		ah <= '0' & a(7 downto 4);
		bh <= '0' & b(7 downto 4);
		sh <= ah + bh + sl(4);
		
		if sh > "01001" then
			sh <= ah + bh + sl(4) + "0110";
		end if;
	end process;
	
	s <= sh(3 downto 0) & sl(3 downto 0);
	co <= sh(4);
	
end rtl;

  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值