![](https://img-blog.csdnimg.cn/20201014180756928.png?x-oss-process=image/resize,m_fixed,h_64,w_64)
算法
hedafighter2011
这个作者很懒,什么都没留下…
展开
-
C 如何判断一个数的位数
#include main(){ int n,m; scanf("%d",&n); m = 1; while(n/10) { n/=10; ++m; } printf("n has %d digits\n",m);}原创 2012-04-04 10:34:18 · 1359 阅读 · 0 评论 -
计算机组成原理 实验一 VHDL BCD码
考察的是算法啊!!!老师的算法好简练!!!library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity bcd is port ( a,b : in std_logic_vector(7 downto 0); s : in std_logic_vect原创 2013-10-15 18:29:48 · 1969 阅读 · 0 评论 -
大数相加
/*大整数加法字符数组保存大数---字符数组转化为整型数组--整型数组倒序相加(因为考虑到首位溢出)----测出整型数组长度----再倒序(就是相加后的结果)输出结果*/#include#includeusing namespace std;int main(){ int a[1000],b[1000],h; char s1[1000],s2[1000];原创 2012-10-27 18:45:37 · 522 阅读 · 0 评论 -
数学运算符和运算符的优先级
一、数学运算符和运算符的优先级所谓数学函数,顾名思义就是在公式中为了进行数学运算所设计出来的函数。其实要在Excel中进行数学运算并不是所有的情况下都用数学函数,有些情况下可以用基本的数学运算符就可以完成。公式中所能用的的数学运算符包括我们日常当中的四则运算,即数学运算符有:加(+)、减(-)、乘(*)、除(/)、百分比(%)和乘幂(^)。在Excel中对运算符的优先级进行了设置,运算符优转载 2012-08-18 10:13:51 · 28318 阅读 · 1 评论 -
关于指针数组的初始化和输入 问题
我想将数据输入到指针数组里面,然后再让他输出,可是结果总不是我想要的,源程序如下:#include#includeconst int max=3;main(){ char *name[max]; for (int i=0;i<max;i++) cin>>name[i]; for (i=0;i<max;i++) cout<<nam原创 2012-05-29 10:45:46 · 2866 阅读 · 1 评论 -
幻方算法(Magic Square)学习笔记
幻方算法(Magic Square)学习笔记<!--@page {margin:2cm}p {margin-bottom:0.21cm}-->一、幻方按照阶数可分成了三类,即奇数阶幻方、双偶阶幻方、单偶阶幻方。二、奇数阶幻方(劳伯法)奇数阶幻方最经典的填法是罗伯法。填写的方法是:把1(或最小的数)放在第一行正中;按以下规律排列剩下的(n×n-1)转载 2012-05-09 17:45:38 · 2948 阅读 · 0 评论 -
程序员必知的8大排序3大查找
6、快速排序 (1)基本思想:选择一个基准元素,通常选择第一个元素或者最后一个元素,通过一趟扫描,将待排序列分成两部分,一部分比基准元素小,一部分大于等于基准元素,此时基准元素在其排好序后的正确位置,然后再用同样的方法递归地排序划分的两部分。(2)实例:上图中将待排序列分成两部分,一部分比基准元素小,一部分大于基准元素,然后对这两部分重复上图的求解过程。(这转载 2012-05-07 18:31:47 · 449 阅读 · 0 评论 -
程序员必知8大排序3大查找(一)
程序员必知8大排序3大查找(一)分类: 软件工程师基本功 2012-05-04 07:4413273人阅读 评论(132) 收藏 举报 第二篇《程序员必知8大排序3大查找(二)》每天都在叫嚣自己会什么技术,什么框架,可否意识到你每天都在被这些新名词、新技术所迷惑,.NET、XML等等技术固然诱人,可是如果自己的基础不扎实,就像是在云里雾里转载 2012-05-07 18:12:32 · 379 阅读 · 0 评论 -
与百钱买百鸡相似的题目
C++第二版4--29:用100元买100支笔,单价:钢笔3元,圆珠笔2元,铅笔0.5元 问:各买多少支(每种笔至少一只) #includeint main(){ int money,num,i,j,k; double p1,p2,p3; cout<<"Please input the money ,the totle number,the each kind of原创 2012-04-17 11:30:37 · 1834 阅读 · 0 评论 -
C base
1、求1+2+3+……….+100。(循环)答案#include void main() { int i,sum=0; for(i=1;i<=100;i++) sum=sum+i; printf("%d",sum); }2、 求1*2*3*……….*10。(循环)答案void main() { int i=0,j=1; for (i=2;i<=10;i++)原创 2012-04-17 17:13:03 · 504 阅读 · 0 评论 -
求连续自然数的积
#includeint main(){ int i,j,n; while(cin>>n) { j=1; for(i=1;i<=n;i++) j*=i; cout<<j<<endl; }return 0;}原创 2012-04-24 11:05:57 · 986 阅读 · 0 评论 -
超大整数乘法运算——C语言
超大整数乘法运算——C语言 在计算机中,长整型(long int)变量的范围是 -2147483648 至 2147483647,因此若用长整型变量做乘法运算,乘积最多不能超过 10位数。即便用双精度型(double)变量,也仅能保证 16 位有效数字的精度。在某些需要更高精度的乘法运算的场合,需要用别的办法来实现乘法运算。 比较容易想转载 2012-04-10 19:07:26 · 4386 阅读 · 1 评论 -
辗转相除法---欧几里得算法
辗转相除法求两个数的最大公约数:代码如下:#includeint gcd(int a,int b){ int temp; int remainder; //if necessary,exchange a and b if(a<b) { temp=a; a=b; b=temp; }remainder=a%b;while(remai原创 2012-04-09 13:13:06 · 1924 阅读 · 0 评论 -
背包问题
背包问题的最优化假设有一个背包的负重最多可达8公斤,而希望在背包中装入负重范围内可得之总价物品,假设是水果好了,水果的编号、单价与重量如下所示:0李子4KGNT$45001苹果5KGNT$57002橘子2KGNT$22503草莓1KGNT$11004甜瓜6KGNT原创 2012-03-19 12:05:37 · 529 阅读 · 0 评论 -
贪心算法解决部分背包问题
所谓贪心算法是指,在对问题求解时,总是做出在当前看来是最好的选择。也就是说,不从整体最优上加以考虑,所做出的仅是在某种意义上的局部最优解。 贪心算法不是对所有问题都能得到整体最优解,但对范围相当广泛的许多问题他能产生整体最优解或者是整体最优解的近似解。 贪心算法的基本思路如下: 1.建立数学模型来描述问题。 2.把求解的问题分成若干个子问题。 3.对每一子问题求解,得转载 2012-03-19 12:02:51 · 1820 阅读 · 0 评论 -
如何判断一个数的位数2
#includeint main(){ int n; while(cin>>n) { int k=1; if((n-10)>0) k++; if((n-100)>0) k++; if((n-1000)>0) k++; if((n-10000)>0)原创 2012-04-04 11:03:29 · 609 阅读 · 0 评论 -
算法导论考试的所有题型整理-----仅限于本学校
明天上图。呕心沥血。。原创 2014-02-25 23:19:55 · 3880 阅读 · 6 评论