seq_item_export其实是一个IMP

我们知道UVM内建了三种port分别是port、export、imp。而这三种port的优先级是逐渐降低的,最终必须由imp来终结连接,也就是连接可以这样的:

1.port.connect(imp);

2.export.connect(imp);

3.port.connect.(export),export.connect(imp)。

而不能是port.connect(export)就完了。

而我在读别人的code时发现port.connect(xx_sqr.seq_item_export),之后没有发现这个seq_item_export连imp来终结,其实原因是seq_item_export其实是一个IMP。

请看uvm1.2 src/seq/uvm_sequencer.svh 第85行:

uvm_seq_item_pull_imp #(REQ, RSP, this_type) seq_item_export;

说明seq_item_export本质是uvm_sequencer的成员,是一个imp。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值